99秒倒计时器设计 - 副本

99秒倒计时器设计 - 副本
99秒倒计时器设计 - 副本

物理与电气工程学院

单片机课程设计

题目:基于单片机99秒倒计时器的设计

学院:物理与电气工程学院

专业:自动化专业

班级:四

组员:

学号:

指导老师:

摘要:随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统

中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。

【关键词】AT89s52;共阴数码管;keil;晶体振荡器

一、要求:单片机控制的99s倒计时器,用单片机AT89s52的定时器实现99s 倒计时器。用两位数码管静态显示倒计时秒值。

二、总体设计:要求的硬件电路都主要由AT89s52芯片、两位数码管、晶振产生电路、复位电路,电源,asp下载电路组成。

1.1、AT89s52的芯片概述

At89s52 是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 AT89S52具有以下标准功能: 8k字节Flash,256字节RAM, 32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。8 位微控制器 8K 字节在系统可编程 Flash AT89S52P0 口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻

辑电平。对P0端口写“1”时,引脚用作高阻抗输入。

当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,

P0具有内部上拉电阻。

在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验

时,需要外部上拉电阻。

P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,p1 输出缓冲器能驱动4 个

TTL 逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入

口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。

此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时

器/计数器2

的触发输入(P1.1/T2EX),具体如下表所示。

在flash编程和校验时,P1口接收低8位地址字节。

引脚号第二功能

P1.0 T2(定时器/计数器T2的外部计数输入),时钟输出

P1.1 T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)

P1.5 MOSI(在系统编程用)

P1.6 MISO(在系统编程用)

P1.7 SCK(在系统编程用)

P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器能驱动4 个

TTL 逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入

口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。

在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVX @DPTR)

时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送1。在使用

8位地址(如MOVX @RI)访问外部数据存储器时,P2口输出P2锁存器的内容。

在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号。

P3 口:P3 口是一个具有内部上拉电阻的8 位双向I/O 口,p3 输出缓冲器能驱动4 个

TTL 逻辑电平。对P3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入

口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。

P3口亦作为AT89S52特殊功能(第二功能)使用,如下表所示。

在flash编程和校验时,P3口也接收一些控制信号。

端口引脚第二功能

P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 INTO(外中断0)

P3.3 INT1(外中断1)

P3.4 TO(定时/计数器0)

P3.5 T1(定时/计数器1)

P3.6 WR(外部数据存储器写选通)

P3.7 RD(外部数据存储器读选通)

此外,P3口还接收一些用于FLASH闪存编程和程序校验的控制信号。

RST——复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将是单片机复位。

ALE/PROG——当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。

对FLASH存储器编程期间,该引脚还用于输入编程脉冲(PROG)。

如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位,可禁止ALE操作。该位置位后,只有一条MOVX和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。

PSEN——程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89C52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次PSEN信号。

EA/VPP——外部访问允许,欲使CPU仅访问外部程序存储器(地址为

0000H-FFFFH),EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。

如EA端为高电平(接Vcc端),CPU则执行内部程序存储器的指令。

FLASH存储器编程时,该引脚加上+12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。

振荡器特性:

XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTA L2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。

1.2、LED数码管显示器概述

本设计中采用的是SH5261AS共阴两位数码管

共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相

数码管的驱动方式:静态显示驱动:静态驱动也称直流驱动。静态驱动是指每个数码管的每一个段码都由一个单片机的I/O端口进行驱动,或者使用如BCD码二-十进制译码器译码进行驱动。静态驱动的优点是编程简单,显示亮度高,缺点是占用I/O端口多,如驱动5个数码管静态显示则需要5×8=40根I/O端口来驱动,要知道一个89S51单片机可用的I/O端口才32个呢:),实际应用时必须增加译码驱动器进行驱动,增加了硬件电路的复杂性。

1.3、其他元器件介绍及参数

晶振,电容,电阻排,电解电容,开关,asp接口,按键

晶振采用频率为12MHZ,连接的两个电容为22pF;电解电容为10uF;

2.单片机的最小系统与复位电路

2.1最小系统

最小系统就是单片机在发挥具体测控功能时所必须的组成部分。为复位电路,振荡电路,单片机,I/O口,电源组成。

时钟频率电路的设计

单片机内部有一个时钟振荡电路,只要外界一个振荡源就能产生一定的时钟信号送到单片机内部的各个单元,决定单片机的工作速度。时钟电路如下图:

外部震荡源电路

一般选用石英晶体振荡器。此电路在加电大约延迟10ms后振荡器起振,在XTAL2引脚产生幅度为3V左右的正弦波时钟信号,其振荡频率主要由石英晶振的频率确定。电路中两个电容C1、C2的作用有两个:一是帮助振荡器起振;二是对振荡器的频率进行微调。C1、C2的典型值为30pf。

单片机在工作时,有内部振荡器产生或由外直接输入的送至内部控制逻辑单元的时钟信号的周期称为时钟周期。其大小是时钟信号频率的倒数,f表示。图中的时钟频率为12MHz,即f=12MHz,则时钟周期为1/12us。

复位电路的设计

单片机的第九脚RES为硬件复位端,只要将该端持续4个机器周期的高电平即可实现复位,复位后单片机的各状态都恢复到初始化状态,其电路图如下:

复位电路

图中由按键K3以及电解电容C1构成了复位电路。由于单片机是高电平复位,所以当按下K3时,单片机的9脚RESET管脚处于高电平,此时单片机处于复位状态。当上电后,由于电容缓慢充电,单片机的9脚电压逐步由高向低转化,经过一段时间后,单片机的9脚处于稳定的低电平状态,此时单片机上复位完毕,系统程序从0000H开始执行。

值得注意的是,在设计当中使用到了硬件复位和软件复位两种功能,由上面的硬件复位后的各状态可知寄存器及存储器的值都恢复到了初始值,而前面的功能介绍中提到的倒计时时间的记忆功能。

显示电路的设计

显示功能与硬件关系极大,当硬件固定后,如何在不引起操作者误解的前提下提供尽可能丰富的信息,全靠软件来解决。

在这里我们使用的是七段数码管显示,通常在显示上我们采用的方法一般包括两种:一种是静态显示,另一种是动态显示。其中静态显示的特点是显示稳定不闪烁,程序编写简单,但占用端口资源多;动态显示的特点是显示稳定性没静态好,程序编写复杂,但是相对静态显示而言占用端口资源少。在本设计中根据实际情况采用的是动态显示方法。

数码管显示电路如下图:

共阴极数码管

总体硬件电路设计图:

三、Keil

用到了Keil C软件,集成调试环境,集成了编辑器、译码器、调试器,支

持软件模拟,支持项目管理功能强大的观察窗口,支持所有的数据类型。树状结构显示,一目了然,支持ASM(汇编)、C语言多模块源程序混合调试,在直接修改、编译、调试源程序,错误指令定位。功能很强大,用于对程序的调试和编辑。其界面如下:

Keil C界面

2.1程序框图如图:

设定子程序流程图(按键处理)

四.调试

1、单片机最小系统的调试

先向单片机下载程序,给P1口赋值:0x55,从p1口接一个LED灯,接不同的的接口会显示灯亮、灭交替的过程,说明程序已经下载进单片机并且已经开始工作2、将编好的程序下载进单片机,99s开始倒计时,工作正常。

参考文献

【1】邹应全.51系列单片机原理与实验教程. 西安:西安电子科技大学出版社

【2】何立民. 单片机高级教程.第1版.北京:北京航空航天大学出版社,2001

【3】赵晓安. MCS-51单片机原理及应用. 天津:天津大学出版社,2001.3

【4】李全利. 单片机原理及接口技术.高等教育出版社,2007.4 软件程序:

ORG 0000H

LJMP MAIN

ORG 0003H

LJMP INTR0

ORG 000BH

LJMP INTRR1

ORG 0100H

MAIN:

MOV 20H,#99

MOV 21H,#9

MOV 22H,#9

MOV TH0,#8AH

MOV TL0,#0D8H

MOV TMOD,#01H

MOV R7,#20

SETB ET0;T0中断允许

SETB EA;CPU中断允许(总允许)位

SETB IT0;开中断(边沿触发)

SETB EX0;INT0中断允许

SETB TR0

DISPLAY:

MOV R1,#01H

MOV DPTR,#TAB

MOV A,21H

MOVC A,@A+DPTR

MOV P0,A

MOV P2,R1

LCALL D10ms

MOV R1,#0

MOV DPTR,#TAB

MOV A,22H

MOVC A,@A+DPTR

MOV P0,A

MOV P2,R1

LCALL D10ms

JMP DISPLAY

;递减,溢出则清0

INTR1:DJNZ R7,L3

DEC 20H

MOV A,20H

CLR C

SUBB A,#0

JZ L2

;TOBCD转码

MOV A,20H

MOV B,#10

DIV AB

MOV 21H,B

MOV 22H,A

MOV R7,#20

JMP L3

L2:MOV 20H,#99

MOV 21H,#9

MOV 22H,#9

MOV R7,#20

L3:MOV TH0,#8AH

MOV TL0,#0D8H

RETI

;延时程序

D10ms:MOV R6,#10

D1ms:MOV R5,#20

DL:NOP

NOP

DJNZ R5,DL

DJNZ R6,D1ms

RET

INTR0:CPL TR0

RETI

;显示码表

TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH DB 6FH,77H,7CH,39H,5EH,79H,71H,40H,00H

END

0-99秒计时器的单片机设计

目录 1 引言 (2) 1.1 AT89C51单片机及其引脚说明 (2) 1.1.1 AT89C51简单介绍 (2) 1.1.2 引脚说明 (3) 2系统硬件电路设计 (5) 2.1 秒计时器的设计要求 (5) 2.2 秒计时器的组成及其原理图 (5) 2.3系统板硬件连线 (6) 3 系统软件设计 (8) 3.1 源程序代码 (8) 3.2 程序流程图 (8) 3.2.1 主程序流程图 (9) 3.2.2 中断程序流程图 (10) 4 课程设计心得会 (11) 参考文献 (11) 附录A (12) 附录B (12)

1 引言 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 1.1 AT89C51单片机及其引脚说明 1.1.1 AT89C51简单介绍 AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器,89C2051是它的一种精简版本。89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 AT89C51具有如下特点:40个引脚,4k Bytes Flash片内程序存储器,128 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 图1 AT89C51单片机芯片外观结构及其引脚分布

99秒倒计时器

单片机课程设计 摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。At89s52 是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 模拟多通道压力系统是利用压力传感器采集当前压力并放映在显示器上,它可以分析压力过量程,并发出报警。并采用电子秤原理可根据输入单价准确的计算出物体的金额。本篇论文讨论了简单的倒计时器的设计与制作,对于倒计时器中的LED数码显示器来说,我为了简化线路、降低成本,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 关键词:AT89C51 LED数码管显示器晶体振荡器

目录 摘要..................................................................... I 第一章概述 (1) 1.1 课程设计目的 (1) 1.2 总体设计 (1) 第二章硬件电路 (2) 2.1各个元件介绍 (2) 2.1.1 AT89C51的芯片概述 (2) 2.1.2 LED数码管显示器概述 (3) 2.2 其他元器件介绍及参数选择 (6) 2.2.1 单片机的最小系统与复位电路 (6) 2.2.2显示电路的设计 (7) 第三章软件部分 (9) 3.1 相关软件介绍 (9) 3.1.1 Keil C软件 (9) 3.1.2 Proteus软件 (9) 3.2 软件设计 (10) 3.2.1 程序框图如图 (10) 3.2 .2 软件程序 (11) 第四章软件调试 (14) 4.1 系统调试工具keil c51 (14) 4.2 PROTEUS仿真 (14) 第五章电路焊接与调试 (17) 5.1 电路板的焊接 (17) 总结 (19) 参考文献 (20) 致谢 (21)

59分59秒倒计时程序及仿真显示

一、59分59秒倒计时程序: /*倒计时及显示程序,适用于寻迹小车实验板*/ #include<> #define uchar unsigned char #define uint unsigned int uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82, 0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e};//共阳七段编码 uchar temp=0;//定义定时器溢出计数变量,每隔50ms产生1次溢出,temp加1 /*uint time=5959;//定义倒计时变量,当temp计数加20(20x50ms=1s)时,time减1 */ uchar miao=59; uchar fen=59; sbit P0_7=P0^7 ; /*--定时计数器T0及其中断初始化函数--*/ void timer0init(void) { TMOD=0x01;//设置定时器0为工作方式1 TH0=(65536-50000)/256;//16位计数初值除以256得到高8位初

值 TL0=(65536-50000)%256;//16位计数初值除以256的余数得到低8位初值 EA=1;//开总中断 ET0=1;//开定时器0中断 TR0=1;//启动定时器0 } /*----------延时函数---------------*/ void delay(uint n) { uint i,j; for(i=n;i>0;i--) for(j=124;j>0;j--); } /*定时计数器中断程序,每当定时计数器溢出时触发中断,执行该程序*/ void time0() interrupt 1 {

倒计时器课程设计(带程序版)

湖南科技大学 课程设计报告课程名称:单片机原理及应用课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409218 08409224 起止日期:2010年12月20日~ 2010年12月31日指导教师: 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩 评 定 项目 权 重 成绩 1、设计过程中出勤、学习态度等方面0.2 2、课程设计质量与答辩0.5 3、设计报告书写及图纸规范程度0.3 总成绩 教研室审核意见: 教研室主任签字:年月日 教学系审核意见: 主任签字:年月日

基于STC89C52的倒计时器设计 1 设计任务与要求 本项目是由单片机执行设定的程序,在数码管显示倒计时的时间,且能由单片机接受矩阵键盘设定的时间。由4位数码管做显示,能够实现5种倒计时模式,通过控制按键进行选择9999s-0s、999s-0s、99s-0s、9s-0s、开始值由人工输入-0s。 2 方案设计与论证 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。现在,在许多领域中,定时器得到了广泛的应用,比如在体育比赛中的计时器;安全措施中的定时报警器;游戏中的倒计时;维持秩序的交通信号灯;红路灯,交通控制器,闹钟等等。可见倒计时器在社会中的重要性。当然,设计倒计时器的方法很多,以下是两个设计方案。 方案一:基于AT89S52单片机的LCD液晶显示模块1602显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,用按键来进行倒计时初值的选择,LCD1602液晶作为显示模块来显示倒计时间。 方案二:基于AT89S52单片机的数码管显示模块显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,按键来进行倒计时初值的选择。此电路对于倒计时器中的LED数码管示器来说,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 方案比较:通过以上两个方案,我们发现,方案二总体比方案一好。首先方案一虽然硬件电路简单,但造价较高,且在编写程序实现所要求的功能时较难,而方案二所用的显示模块是比较熟悉的数码管,编写程序是相对容易,且电路造价不高,因此,综合考虑之后决定采用方案二。

单片机课程设计-30秒倒计时

《30秒倒计时计时器》 课 程 设 计 专业班级:电子信息科学与技术3班 姓名:韩飘飘(080212131) 熊元甲(080212132) 蔡正军(080212133) 指导教师:郭玉 设计时间:2013-2014学年第二学期 物理与电气工程学院 2014年5月28日

目录 题目,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,1 目录,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,2 第一章方案论证,,,,,,,,,,,,,,,,,,,,,,,,,,,,3 1.1课程设计的目的和要求,,,,,,,,,,,,,,,,,,,,3 1.2总体设计,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 第二章硬件设计,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 2.1CPU部分,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 2.2 LED数码管显示器概述,,,,,,,,,,,,,,,,,,,,5 2.3其他元器件介绍及参数选择,,,,,,,,,,,,,,,,,7第三章软件设计,,,,,,,,,,,,,,,,,,,,,,,8 第四章 4.1实验调试及结果(照片),,,,,,,,,,,,,,9 4.2 心得体会,,,,,,,,,,,,,,,,,,,,,,,,,,,,9 附录A: 软件程序,,,,,,,,,,,,,,,,,,,,,,,,,10 附录B: 参考文献,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,12

第一章方案论证 1.1课程设计目的和要求 (1)目的 课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握、应用得的专门性实践类课程。通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。(2)要求 用单片机80C51的定时器实现30s,20s倒计时。本例中用两位数码管静态显示倒计时秒值。 (3)目标 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法。通过做一个综合性训练题目,达到对内容的消化、理解并提高解决问题的能力的目的。

99秒倒计时汇编程序

;利用中断的99秒计时器,动态扫描两位数码管;P0口接J3,数据端口 ;P2.2接J2 B端子,表示段码锁存 ;P2.3接J2 A端子,标志位码锁存 LATCH1 BIT P2.2 LATCH2 BIT P2.3 ORG 00H JMP START ORG 0BH JMP TIM0 START:MOV R3,#00 ;中断循环次数 MOV R4,#0 ;时间初值 MOV DPTR,#TABLE MOV SP,#40H MOV TMOD,#01H ;定时器工作方式 MOV TH0,#HIGH(65536-3800) MOV TL0,#LOW(65536-3800) ;初值4MS SETB TR0 MOV IE,#82H ;开中断 TIM0: MOV TH0,#HIGH(65536-3800) MOV TL0,#LOW(65536-3800) INC R3 CJNE R3,#250,X1 ;1S MOV R3,#0 MOV A,R4 ;十进制转换 MOV B,#10 DIV AB MOV 20H,B ; 个位 MOV 21H,A ; 十位 INC R4 CJNE R4,#100,LEDSCAN ;到100则清零 MOV R4,#0 LEDSCAN: CALL SCAN ;调用数码管扫描 X1: PUSH ACC PUSH PSW CALL SCAN POP PSW POP ACC RETI SCAN:

MOV A,21H MOVC A,@A+DPTR MOV P0,A SETB LATCH1 CLR LATCH1 MOV P0,#11111110B ;扫描子程序 SETB LATCH2 CLR LATCH2 CALL DELAY1 MOV A,20H MOVC A,@A+DPTR MOV P0,A SETB LATCH1 CLR LATCH1 MOV P0,#11111101B ;0代表选通该位数码管 SETB LATCH2 CLR LATCH2 CALL DELAY1 RET DELAY:MOV R5,#50 ;典型延时程序 D1: MOV R6,#40 D2: MOV R7,#248 CALL SCAN DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET DELAY1:MOV R6,#4 ;扫描延时 D3: MOV R7,#248 DJNZ R7,$ DJNZ R6,D3 RET TABLE:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;共阴字码表END

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

单片机倒计时秒表课程设计

单片机倒计时秒表 课程设计

课程设计说明书 课程名称:单片机技术 设计题目:倒计时数字秒表设计院系: 学生姓名:张三 学号: ********* 专业班级: *********** 指导教师:李四 年月日

课程设计任务书 倒计时秒表

摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。 关键词:倒计时 AT89S52 74LS47 数码管 目录

1.设计背景 (5) 1.1、设计课题的提出 (5) 1.2、设计作用及意义 (5) 2.设计方案 (6) 2.1、可行方案选择 (6) 2.2、可行方案比较 (6) 3.方案实施 (7) 3.1、硬件电路的实施 (7) 3.2、软件程序的实施 (11) 4.结果与结论 (13) 4.1、Proteus仿真运行结果 (13) 4.2、结论 (14) 5.收获与致谢 (14) 6.参考文献 (14) 7.附件 (15) 7.1、附件一(整体电路图) (15) 7.1、附件二(元件清单图) (16) 7.1、附件三(程序) (17) 7.1、附件四(运行实物图) (22) 1. 设计背景

plc 99秒 倒计时

《可编程序控制器原理及应用》“倒计时软件设计”说明书 一、设计目的: (1)使学生运用《可编程序控制器原理及应用》课程中的基本理论,正确设计一个典型案例的控制系统;针对控制目标,编写下位机PLC程序, 设计上位机触摸屏控制界面,解决好通信问题,实现上下位机联合控 制。 (2)培养学生自学软件的能力,逻辑思维的能力。 (3)综合训练学生应用多款软件设计用户程序,仿真验证案例准确性的能力。 二、设计要求: (1)按任务时序设计下位机PLC程序 (2)设计上位机触摸屏控制界面 (3)上下位机联合仿真 三、梯形图: 下面是已经编好的经过转换梯形图

四、触摸屏软件GT-designer2的使用: 利用此软件制作触摸屏,如下图

五、利用GTsimulator2进行仿真: 先在GX-developer中启动梯形图逻辑测试,然后用GTsimulator打开用GTdesigner制作的触摸屏,保证三个软件前后设置一致。如下图:

六、总结 通过这次的设计使我认识到本人对PLC方面的知识知道的还是很浅薄的,对于书本上的很多知识还不能灵活运用。通过本次的课题设计使我从中学到了一些很重要的东西,那就是如何从理论到实践的转化,怎样将我所学到的知识运用到我以后的工作中去。同时也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。在大学的课堂的学习只是在给我们灌输专业知识,而我们应把所学的用到我们现实的生活中去,此次的PLC数字时钟设计给我奠定了一个实践基础,我会在以后的学习、生活中磨练自己,使自己适应于以后的竞争,同时在查找资料的过程中我也学到了许多新的知识,在和同学协作过程中增进同学间的友谊,使我对团队精神的积极性和重要性有了更加充分的理解。 在这次设计过程中,我也对word、画图等软件有了更进一步的了解,这使我 在以后的工作中更加得心应手。

数显倒计时电路设计分析

学号 电子线路综合设计 设计说明书 数显倒计时电路设计 起止日期:2014 年6 月29 日至2014 年7 月10 日 学生姓名 班级13信科1 成绩 指导教师(签字) 计算机与信息工程学院 2014 年7 月10 日

课程设计任务书 2014 —2015学年第二学期 计算机与信息工程学院电子信息与科学专业 13信科1班级 课程设计名称:电子线路综合设计 设计题目:数显倒计时电路设计 完成期限:自 2015 年 6 月 29 日至 2015 年 7 月 10 日共 2 周 设计依据、要求及主要内容(可另加附页): 一、课程设计依据 数码显示管、555定时电路、计数器的逻辑功能 二、课程设计内容 设计并制作一个数显倒计时电路。要求如下: 1、电路具有10~99秒可预置定时功能。 2、有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置/开始按钮,数码管显示定时时间,LED不亮;再按预置/开始按钮,LED亮,倒计时开始。 3、倒计时结束时,计数器停止计数,LED不亮。 4、电路具有开机预置数功能。 5、自制本电路所用的直流电源和一秒信号源。 三.课程设计要求 1.要求独立完成设计任务。 2.课程设计的说明书要求简洁、通顺,计算正确,图纸表达内容完整、清楚、规范。 3.测试要求:根据题目的特点,设计电路并仿真,最后焊接电路并进行调试。 4.课设说明书要求: 1)说明题目的设计电路图、仿真结果和调试过程。 2)详细介绍运用的理论知识和电路图设计过程。 3)绘制电路图并对硬件调试过程进行详细的分析。 指导教师(签字): 教研室主任(签字): 批准日期:2015 年 6 月 25 日

60秒计时器

单片机课程设计说明书 单片机课程设计说明书 题目: 00—60秒表设计学院:机电工程学院 专业:机械设计制造及其自动化学生姓名: xxx 学号: xxx 指导教师单位: xxx 姓名: xxx 2013年12月13日

摘要 60秒计时器以单片机为核心,由计时器,控制器等组成。系统采用模块化设计,主要分为计时器显示模块和按键控制模块。每个模块的程序结构简单,任务明确,易于编写、调试和修改。编程后利用Kcil软件来进行编译,在生成HEX文件装入芯片中,在通过调试实现60s计时功能。本设计中系统硬件电路主要是由以下几个部分组成:单片机AT89C51、振荡电路、显示电路和按键开关。该系统具有60s内准确计时和计时清零的功能。 关键字:单片机,计时,显示,60s计时,复位清零

前言 我们的任务是设计60s秒表计时器,用AT89C51单片机的定时/计数器T0产生一秒的定 时时间,作为秒计数时间,当一秒产生时,秒计数加1,秒计数到60时,自动从0开始,实现0到60秒的循环显示的功能。 现代计时器是用数字集成电路做成的现代计时器,与传统的机械钟相比,走时准确、显示直观(有荧光七段数码显示器)、无机械传动装置等优点。而且钟表的数字化给人 们生产生活带来了极大的方便。广泛用于个人家庭,车站,码头、办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英振荡器的广泛 应用,使得数字计时表的精度远远超过老式钟表,钟表的数字化给人们生产生活带来 了极大地方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、校时自 动打铃、时间程序自动控制、定是广播、自动启闭路灯、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字计时器及扩大其应用有着非常现实的意义。 一.概述 1.1课程设计的任务与目的 课程设计任务: 用AT89S51单片机的定时/计数器T0产生一秒的定时时间,作为秒计数时间,一秒产生时,秒计数加1,秒计数到60时,自动从0开始。额外拓展,一 个按键,实现从0开始重新计时。 课程设计目的: 课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的 训练,进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步 学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握应用得的专门性实践类课程,通过典型实际问题的 实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统 设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法,通过做一个综合性训练题目,达到对内容 的消化、理解并提高解决问题的能力的目的。 1.2、总体方案设计

单片机系统设计-基于proteus的百天倒计时

单片机系统 课程设计 课程设计名称:基于89C51的倒计时牌设计专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2013-12-16~2013-12-27 单片机系统课程设计任务书

目录 1 概述 (1) 1.1 研究背景 (1) 1.2 设计思想及基本功能 (1) 2 总体方案设计 (2) 2.1 方案选取 (2) 2.2 系统框图 (3) 2.3 总体方案设计 (3) 3 硬件电路设计 (4) 3.1 电源电路设计 (4) 3.2 晶振电路 (5) 3.3 复位电路 (6) 3.4 键盘电路 (7) 3.5 显示电路 (7) 3.6 蜂鸣器及LED电路 (12) 4 系统软件设计 (12) 4.1 主程序软件设计 (12) 4.2 键盘程序设计 (13) 4.3 定时程序设计 (13) 4.4 报警程序设计 (15) 5 Proteus仿真 (15) 6 总结 (18) 参考文献 (19) 附录A系统原理图 (19) 附录B程序清单 (181) 附录C机器码清单 (23)

1概述 1.1研究背景 随着2014年新年钟声的临近,一年一度的全球华人文化盛宴春节联欢晚会也正式进入了紧张的倒计时。诚如你所发现的那样,各式各样的倒计时牌开始逐渐的走进人们的视野。我们现实的工作学习中也不可避免的接触到形形色色的倒计时牌,作为学生的我们记忆犹新的恐怕非高考百天倒计时牌莫属了,而最让国人觉得自豪的就是1997年香港回归的倒计时了,当倒计时牌归零的那一刻,冉冉升起的中国国旗再一次点燃国人沸腾的热血。诸如此类的倒计时牌不胜枚举:2008北京奥运会倒计时、2010上海世博会倒计时牌等等。 日常生活中,我们不可避免的接触到各式各样的倒计时牌,当你开车时红路灯的短暂倒计时,当你玩游戏时游戏时间结束的倒计时…..,而这些不同功能不同含义的倒计时牌引起了我极大的兴趣,学完单片机后,让做出一个属于自己的倒计时牌成为可能。 在智能化产品中,单片机的应用已经越来越广泛,单片机以它体积小、质量轻、耗电省、可靠性高、价格低等优点,开始不断发展,AT89C51单片机是一款非常典型且实用的51单片机,网上资源和参考书比较多,所以我此次采用此型号的单片机作为倒计时牌的控制器件。 1.2设计思想及基本功能 该倒计时牌采用廉价可靠的LED数码管显示,能够根据使用者的要求,结合实际情况设置不同时间长度的倒计时,在设定的倒计时时间结束时能够自动启动报警装置,并且显示恢复到初始状态。利用专业的单片机仿真软件Proteus7.8来进行仿真,以验证设计的正确性同时达到降低设计周期的目的。 可设设置倒计时时间的倒计时牌系统具有以下几个基本功能: (1)利用8位数码管能够显示日、时、分、秒倒计时。 (2)通过按键来实现倒计时时间的设定。 (3)倒计时时间到时,蜂鸣器报警并使LED点亮。

99秒计时 99秒倒计时中断触发定时器

99秒计时+99秒倒计时(中断触发定时器) ___________________________________________ 功能:99秒计时 时间2010—7—18 ___________________________________________ #include code unsigned char tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x 7f,0x6f}; unsigned char Dis_Shiwei; unsigned char Dis_Gewei; void delay(unsigned int cnt) { while(--cnt); } main() { TMOD |=0x01; //10ms in 12M crystal,工作在 模式一,16位定时器 TH0=0xd8;

TL0=0xf0; IE= 0x82; //打开中断 TR0=1; //打开定时开关 while(1) { P0=Dis_Shiwei; P2=0; delay(300); P0=Dis_Gewei; P2=1; delay(300); } } void tim(void) interrupt 1 using 1 { static unsigned char second,count; TH0=0xd8; TL0=0xf0; count++; if (count==100) //100x10ms { count=0;

second++; if(second==100) second=0; Dis_Shiwei=tab[second/10]; Dis_Gewei=tab[second%10]; } } /* 定时器设定为:TH0=0xd8;TL0=0xf0;经确定时10ms。从程序开始执行,每当主程序中的16位数发生溢出则触发中断,主程序保护现场并调用中断子程序,也可以写为:TH0=(65536-10000)/256;TL0=(65536-10000)%256。秒脉冲的产生要求精确定时1s,实际情况可能有延误。 1 0000 0000 0000 0000 1101 1000 1111 0000 ———————————— 0010 0111 0001 0000 Time=16(1+16+32+64+512)=16*625=10000us=10ms

单片机控制数码管显示99.99数字秒表,带记忆,倒计时,置数功能

#include #include #define uchar unsigned char #define uint unsigned int uchar code dis_r[11]={~0xc0,~0xf9,~0xa4,~0xb0, ~0x99,~0x92,~0x82,~0xf8,~0x80,~0x90, ~0xff}; uchar code dis_b[4]={0x20,0x10,0x08,0x04}; uint dis_s[35]; uchar dis_buf[4]; uchar code dis_l[9]={0xf6,0xf5,0xf4,0xf3,0xf2,0xf1,0xf0}; uchar dis_t; uchar a,b,c; uchar key1_times,key4_times; uint doc,n; void update_disbuf(); void proc_key(); void daojshi(); void store(); void read_store(); void delay(uint ms); sbit K1 = P1^7; sbit K2 = P1^6; sbit K3 = P1^5; sbit K4 = P1^4; sbit M=P1^3; sfr P2M0=0x95; sfr P2M1=0x96; sfr P3M0=0xB1; sfr P3M1=0xB2; void main(void) { P2M0=0x00; P2M1=0xff; P3M0=0x00; P3M1=0xff; M=0; P2 = 0x3F; P3 = 0xFF; TMOD = 0x11; TH1 = (65536-50000)/256; TL1 = (65536-50000)%256; TH0 = (65536-1000)/256; TL0 = (65536-1000)%256; update_disbuf();

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

单片机30秒倒计时

天津工业大学 电子CAD课程设计 报告书 三、总体方案 本设计由硬件设计和软件设计两部分组成,总电路如图1所示,硬件设计主要包括单片机芯片选择,数码管选择及晶振,电容,电阻等元器件的选择及其参数的确定;软件设计主要是实现30秒倒计时程序的编写,包括利用中断实现1秒的定时及30秒的倒计时。 具体设计:通过AT89C51型号单片机,由P0 I/O引脚分别控制7SEG–MPX2–CA型号数码管,通过单片机的p2.0和p2.1控制选通数码管控制十位和个位,达到显示30秒倒计时的目的。。30秒倒计时,到0时P1.0 1KHZ 声音报警,P1.1 LED 2 秒闪烁一次。4 秒后声光停

图1 30秒倒计时总体电路设计 3.3.1硬件设计方法 AT89C51的芯片概述 AT89C51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。其工作电压在4.5-5V,一般我们选用+5V电压。外形及引脚排列如图2所示: AT89C51主要特性

图2:AT89C51的核心电路框图 LED数码管显示器概述 本设计中采用的是7SEG–MPX2 –CA型号7段共阳数码管,它是一种半导体发光器件,其基本单元是发光二极管。实物如图3所示: 图3 7SEG–MPX2–CA型号数码管 图5 程序框图

基于单片机的电子倒计时牌设计与实现

基于单片机的电子倒计时牌的设计与实现 摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以做完善。生活中单片机的应用很广,如电子倒计时牌就能够帮你记住一些重要的日子叫你不会忘记。它可以用于奥运会的倒计时、世界博览会的倒计时、高考的倒计时等重要的日子的计时,使你的生活变的简单而有规律。制作一些大的电子倒计时牌也能方便大众,如2008年奥运会在中国举办,在北京的那个大的奥运会倒计时牌就很好的给大众一个提醒的作用。 现在日常生活中时间对人们的重要性,越来越高了。时间伴随我们的每一天,每一时刻,所以我们由于各种原因会忘记各种重要的日子,这就是我们做这个电子倒计时牌的原因,它能够很好提醒人们重要的日子,保重我们不能忘记,也减少了人们不必要的损失。计时的方法也得到了发展,由最初人们用看系扣来记录重要的事情和天数,到看太阳的影子,来看时间的变化,再到出了发条表,人们用翻纸来看时间和天数,到现在人们有使用电子表,人们的生活再不断的提高和发展,电子倒计时牌是时代的发展的产物,也是人们智慧的产物。 本篇论文讨论了倒计时的设计和制作,单片机与外部温度采集芯片、液晶屏显示的电路连接,和使用Protel99进行原理图的绘制和PCB的制作,使用汇编和C语言进行软件的设计,并对系统硬件和软件进行了验证。 关键词:单片机;汇编;电子倒计时牌;Protel99

Electronic countdown board based on single chip design and implementation Abstract In recent years, with the penetration of computers in the social sphere, SCM applications are continually deepening, while the traditional control and test drive the rapidly growing update. In real-time detection and control of the microcomputer application system, the microcontroller is often used as a core component, only the SCM knowledge is inadequate and should be based on specific hardware architecture, and application-specific features of the software objects are combined to make perfect . Life of a very wide application of SCM, such as electronic countdown board will be able to help you remember some important call you will not forget the day. It can be used for the Olympic Games countdown, countdown to the Expo, and other important college entrance examination in the countdown to the days of the time, make your life more simple and regular. Produce large electronic countdown board and make it easier public, such as the 2008 Olympic Games held in China, in Beijing Olympics countdown board that big on a good reminder to the public a role. Now in daily life, the importance of time for people increasingly high. Time with us every day, every minute, so we can forget all due to various reasons, this is an important day we do this electronic countdown card, it is important to remind people of the day, we cannot forget yourself, also reduced the people to avoid unnecessary loss. Timing method is developed by using buttons at first people to record important things to see the sun, and the number of shadow, the change of time and again to the wind, people use double perspective, time and number of paper to use electronic, people are the life of people more continuous improvement and development, electronic countdown card is the product of the development of The Times, the wisdom of people. This paper discusses the design and manufacture, the countdown MCU and external temperature gathering chip, LCD display, and use of the circuit principle diagram Protel99 draw and PCB manufacture, assembly and C language used in the design of software and hardware and software is validated. Key words: Single-Chip computer, Compilation, Electronic countdown board, Protel99

相关文档
最新文档