【VIP专享】电子科大-微机原理习题解答-chap5

【VIP专享】电子科大-微机原理习题解答-chap5
【VIP专享】电子科大-微机原理习题解答-chap5

西电微机原理2010试题

西安电子科技大学微机原理试题 姓名学号总分 一.填空题(每空1分,共30分) 1)15的8位二进制补码为,-15的8位二进制补码为。 2)某8位二进制补码为80H,其十进制表示为。 3)字符B的ASCII码为,字符0的ASCII码为。 4)8086CPU总线按功能可分为数据总线,总线和总线。 5)8086CPU数据总线包含条数据线,最多可寻址的存储器容量为。 6)CPU内部用于计算的部分为,用于保存下一条要执行的指令地址的 为。 A) 程序状态字B) 程序计数器C) ALU D) 工作寄存器 7)经常用作循环次数的寄存器是,用于I/O端口寻址的寄存器是。 A) AX B) BX C) CX D) DX 8)指令MOV CX, 1000的结果是CH= 。 9)将0D787H和4321H相加后,标志位CF= ,SF= ,ZF= , OF= ,AF= ,PF= 。 10)寄存器SI中能够表示的最大有符号数为,最小有符号数为。 11)设(DS)=4000H,(BX)=0100H,(DI)=0002H,(4002)=0A0AH,(40100)=1234H,(40102) =5678H,求以下指令分别执行后AX寄存器的值。 MOV AX , [2] (AX)= 。 MOV AX , [BX] (AX)= 。 MOV AX , [BX][DI] (AX)= 。 MOV AX , 1[BX] (AX)= 。 12)用一条指令将AX寄存器低四位清零,其余位不变:。 13)用一条指令将AX寄存器高四位取反,其余位不变:。 14)用一条指令将AX高8位与低8位交换:。 15)用一条指令将AL中的大写字母变成相应的小写:。 二.判断题(每题1分,共10分) 以下语句是语法正确的打√,语法错误打×,其中TABLE和TAB为两个字节类型的变量。 1)MOV DS , 1000H 2)MOV DS , TABLE 3)MOV [1200H] , [1300H] 4)ADD AX , BX , CX 5)XCHG AL , CL 6)CALL AL 7)MUL AX , BX 8)JU L1 9)SHR CL , CL

(完整版)微机原理课后习题参考答案

第一章 2、完成下列数制之间的转换。 (1)01011100B=92D (3)135D=10000111B (5)10110010B=262Q=B2H 3、组合型BCD码和非组合型BCD码有什么区别?写出十进制数254的组合型BCD数和非组合型数。 答:组合型BCD码用高四位和低四位分别对应十进制数的个位和十位,其表示范围是0~99;非组合型BCD码用一个字节的低四位表示十进制数,高四位则任意取值,表示范围为0~9。 组合型:254=(001001010100)BCD 非组合型:254=(00000010 00000101 00000100)BCD 7、计算机为什么采用补码形式存储数据?当计算机的字长n=16,补码的数据表示范围是多少? 答:在补码运算过程中,符号位参加运算,简化了加减法规则,且能使减法运算转化为加法运算,可以简化机器的运算器电路。+32767~ -32768。 9、设计算机字长n=8,求下列各式的[X+Y]补和[X-Y]补,并验证计算结果是否正确。 (1)X=18,Y=89 [X+Y]补=00010010+01011001=01101011B=107D 正确 [X-Y]补=10111001B=00010010+10100111=(-71D)补正确 (2)X=-23,Y=-11 [X+Y]补=11101001+11110101=11011110B=(-34D)补正确[X-Y]补=11101001+00001011=11110100B=(-12D)补正确 (3)X=18,Y=-15 [X+Y]补=00010010+11110001=00000011B=(3D)补正确 [X-Y]补=00010010+00001111=00100001B=(33D)补正确 (4)X=-18,Y=120 [X+Y]补=11101110+01111000=01100110B=(102D)补正确[X-Y]补=11101110+10001000=01110110B=(123D)补由于X-Y=-138 超出了机器数范围,因此出错了。 13、微型计算机的主要性能指标有哪些? 答:CPU字长、存储器容量、运算速度、CPU内核和IO工作电压、制造工艺、扩展能力、软件配置。 第二章 2、8086标志寄存器包含哪些标志位?试说明各标志位的作用。 答:进位标志:CF;奇偶校验:PF;辅助进位:AF;零标志:ZF;符号标志:SF;溢出标志:OF。 5、逻辑地址与物理地址有什么区别?如何将逻辑地址转换为物理地址? 答:物理地址是访问存储器的实际地址,一个存储单元对应唯一的一个物理地址。逻辑地址是对应逻辑段内的一种地址表示形式,它由段基址和段内偏移地址两部分组成,通常表示为段基址:偏移地址。 物理地址=段基址*10H+偏移地址。 6、写出下列逻辑地址的段基址、偏移地址和物理地址。 (1)2314H:0035H (2)1FD0H:000AH 答:(1)段基址:2314H;偏移地址:0035H;物理地址:23175H。 (2)段基址:1FD0H;偏移地址:000AH;物理地址:1FD0AH。 8、设(CS)=2025H,(IP)=0100H,则当前将要执行指令的物理地址是多少? 答:物理地址=(CS)*10H+(IP)=20350H 9、设一个16字的数据区,它的起始地址为70A0H:DDF6H(段基址:偏移地址),求这个数据区的首字单元和末字单元的物理地址。

电子科技大学 汇编 实验报告

计算机专业类课程 实 验 报 告 课程名称:汇编语言程序设计 学院:计算机科学与工程 专业:计算机科学与技术 学生姓名:郭小明 学号:2011060100010 日期:2013年12月24日

电子科技大学 实验报告 实验一 学生姓名:郭小明学号:2011060100010 一、实验室名称:主楼A2-412 二、实验项目名称:汇编源程序的上机调试操作基础训练 三、实验原理: DEBUG 的基本调试命令;汇编数据传送和算术运算指令 MASM宏汇编开发环境使用调试方法 四、实验目的: 1. 掌握DEBUG 的基本命令及其功能 2. 学习数据传送和算术运算指令的用法 3.熟悉在PC机上编辑、汇编、连接、调试和运行汇编语言程序的过程五、实验内容: 编写程序计算以下表达式: Z=(5X+2Y-7)/2 设X、Y的值放在字节变量VARX、VARY中,结果存放在字节单元VARZ中。 1.编辑源程序,建立一个以后缀为.ASM的文件. 2.汇编源程序,检查程序有否错误,有错时回到编辑状态,修改程序中错误行。无错时继续第3步。 3.连接目标程序,产生可执行程序。

4.用DEBUG程序调试可执行程序,记录数据段的内容。 六、实验器材(设备、元器件): PC机,MASM软件平台。 七、实验数据及结果分析: 程序说明: 功能:本程序完成Z=(5X+2Y-7)/2这个等式的计算结果求取。其中X 与Y 是已知量,Z是待求量。 结构:首先定义数据段,两个DB变量VARX与VARY(已经初始化),以及结果存放在VARZ,初始化为?。然后定义堆栈段,然后书写代码段,代码段使用顺序程序设计本程序,重点使用MOV和IMUL以及XOR,IDIV完成程序设计。详细内容见程序注释。 程序清单:

电子科技大学模拟电路考试题及答案

电子科技大学 二零零七至二零零八学年第一学期期末考试 模拟电路基础课程考试题A卷(120分钟)考试形式:开卷 课程成绩构成:平时10分,期中30分,实验0分,期末60分 一(20分)、问答题 1.(4分)一般地,基本的BJT共射放大器、共基放大器和共集放大器的带宽哪个最大?哪个最小? 2.(4分)在集成运算放大器中,为什么输出级常用射极跟随器?为什么常用射极跟随器做缓冲级? 3.(4分)电流源的最重要的两个参数是什么?其中哪个参数决定了电流源在集成电路中常用做有源负载?在集成电路中采用有源负载有什么好处? 4.(4分)集成运算放大器为什么常采用差动放大器作为输入级? 5.(4分)在线性运算电路中,集成运算放大器为什么常连接成负反馈的形式?

二(10分)、电路如图1所示。已知电阻R S=0,r be=1kΩ,R1∥R2>>r be。 1.若要使下转折频率为10Hz,求电容C的值。 2.若R S≠0,仍保持下转折频率不变,电容C的值应该增加还是减小? 图1 三(10分)、电路如图2所示。已知差模电压增益为10。A点电压V A=-4V,硅三极管Q1和Q2的集电极电压V C1=V C2=6V,R C=10kΩ。求电阻R E和R G。 图2 四(10分)、电路如图3所示。已知三极管的β=50,r be=1.1kΩ,R1=150kΩ,R2=47k Ω,R3=10kΩ,R4=47kΩ,R5=33kΩ,R6=4.7kΩ,R7=4.7kΩ,R8=100Ω。 1.判断反馈类型; 2.画出A电路和B电路; 3.求反馈系数B; 4.若A电路的电压增益A v=835,计算A vf,R of和R if。

秋专科 微机原理及应用 电子科技大学在线考试

2017年秋|微机原理及应用|专科 1. 有如下定义VAR1 DB 1,2,3,4,5 VAR2 DB '12345' NUM4 EQU VAR2–VAR1 则 NUM4=()。 (A) 5 (B) 4 (C) 3 (D) 2 分值:2 2. 下列四个寄存器中,不能用来作为间接寻址方式的寄存器是()。 (A) BP (B) BX (C) D I (D) CX 分值:2 3. 相对查询传送来说,无条件传送工作可靠。() (A) 正确 (B) 错误 分值:2 4. 指令“CMP AX,CX”和“SUB AX,CX”的实现功能完全一样,可以互相替换。() (A) 正确 (B) 错误

分值:2 5. BUFFER DB 100 DUP(?) ;表示BUFFER为()的存储空间,其内容为随机数,通常 作为程序的工作单元。 (A) 200个字节 (B) 200个字 (C) 100个字 (D) 100个字节 分值:2 6. 用来定义字节变量的伪指令是()。 (A) WORD (B) DWORD (C) B YTE (D) QWORD 分值:2 7. 乘法运算是双操作数运算,但是,在指令中却只指定一个操作数,另一个操作数是隐 含规定的,隐含操作数为()。 (A) AL/AX (B) BL/BX (C) C L/CX (D) DL/DX 分值:2

8. 指令“LOOP Lable ”与“DEC CX JNZ Lable ”的功能是等价的。() (A) 错误 (B) 正确 分值:2 9. 非循环算术移位指令在执行时,实际上是把操作数看成()符号数来进行移位。 (A) 不确定 (B) 带 (C) 都可以 (D) 无 分值:2 10. 计算机存储容量的基本单位:1 B(Byte)=(bits),1TB=GB。 (A) 8,1000G B (B) 8,1000K (C) 8,1024M (D) 8,1024G 分值:2 11. DEC 指令不能影响标志寄存器的()位。 (A) IF (B) SF

微机原理课后习题答案

李伯成《微机原理》习题第一章 本章作业参考书目: ①薛钧义主编《微型计算机原理与应用——Intel 80X86系列》 机械工业出版社2002年2月第一版 ②陆一倩编《微型计算机原理及其应用(十六位微型机)》 哈尔滨工业大学出版社1994年8月第四版 ③王永山等编《微型计算机原理与应用》 西安电子科技大学出版社2000年9月 1.1将下列二进制数转换成十进制数: X=10010110B= 1*27+0*26+0*25+1*24+0*23+1*22+1*21 +0*21 =128D+0D+0D+16D+0D+0D+4D+2D=150D X=101101100B =1*28+0*27+1*26+1*25+0*24+1*23+1*22+0*21+0*20 =256D+0D+64D+32D+0D+16D+4D+0D=364D X=1101101B= 1*26+1*25+0*24+1*23+1*22+0*21 +1*20 =64D+32D+0D+8D+4D+0D+1D=109D 1.2 将下列二进制小数转换成十进制数: (1)X=0.00111B= 0*2-1+0*2-2+1*2-3+1*2-4+1*2-5= 0D+0D+0.125D+0.0625D+0.03125D=0.21875D (2) X=0.11011B= 1*2-1+1*2-2+0*2-3+1*2-4+1*2-5= 0.5D+0.25D+0D+0.0625D+0.03125D=0.84375D (3) X=0.101101B= 1*2-1+0*2-2+1*2-3+1*2-4+0*2-5+1*2-6= 0.5D+0D+0.125D+0.0625D+0D+0.015625D=0.703125D 1.3 将下列十进制整数转换成二进制数: (1)X=254D=11111110B (2)X=1039D=10000001111B (3)X=141D=10001101B 1.4 将下列十进制小数转换成二进制数: (1)X=0.75D=0.11B (2) X=0.102 D=0.0001101B (3) X=0.6667D=0.101010101B 1.5 将下列十进制数转换成二进制数 (1) 100.25D= 0110 0100.01H (2) 680.75D= 0010 1010 1000.11B 1.6 将下列二进制数转换成十进制数 (1) X=1001101.1011B =77.6875D

电子科大电子技术实验报告

电子科技大学 电子技术实验报告 学生姓名:班级学号:考核成绩:实验地点:仿真指导教师:实验时间: 实验报告内容:1、实验名称、目的、原理及方案2、经过整理的实验数据、曲线3、对实验结果的分析、讨论以及得出的结论4、对指定问题的回答 实验报告要求:书写清楚、文字简洁、图表工整,并附原始记录,按时交任课老师评阅实验名称:负反馈放大电路的设计、测试与调试

一、实验目的 1、掌握负反馈电路的设计原理,各性能指标的测试原理。 2、加深理解负反馈对电路性能指标的影响。 3、掌握用正弦测试方法对负反馈放大器性能的测量。 二、实验原理 1、负反馈放大器 所谓的反馈放大器就是将放大器的输出信号送入一个称为反馈网络的附加电路后在放大器的输入端产生反馈信号,该反馈信号与放大器原来的输入信号共同控制放大器的输入,这样就构成了反馈放大器。单环的理想反馈模型如下图所示,它是由理想基本放大器和理想反馈网络再加一个求和环节构成。 反馈信号是放大器的输入减弱成为负反馈,反馈信号使放大器的输入增强成为正反馈。四种反馈类型分别为:电压取样电压求和负反馈,电压取样电流求和负反馈,电流取样电压求和负反馈,电流取样电流求和负反馈。 2、实验电路

实验电路如下图所示,可以判断其反馈类型累电压取样电压求和负反馈。 3.电压取样电压求和负反馈对放大器性能的影响 引入负反馈会使放大器的增益降低。负反馈虽然牺牲了放大器的放大倍数,但它改善了放大器的其他性能指标,对电压串联负反馈有以下指标的改善。 可以扩展闭环增益的通频带 放大电路中存在耦合电容和旁路电容以及有源器件内部的极间电容,使得放大器存在有效放大信号的上下限频率。负反馈能降低和提高,从而扩张通频带。 电压求和负反馈使输入电阻增大 当 v一定,电压求和负反馈使净输入电压减小,从而使输入电流 s

电子科技大学网络教育专科C语言在线考试答案

一、单项选择题(每题2分,共30分) 1.以下描述正确的是( C ) A.goto语句只能用于退缩出多层循环。 B.switch语句中不能出现continue语句。 C.只能用continue语句中来终止本次循环。 D.在循环语句中break语句不独立出现。 2.一个C程序的执行是从( A ) A.C程序的main函数开始,到main函数结束。 B.本程序的第一个函数开始,到本程序最后一个函数结束。 C.本程序的main函数开始,到本程序文件的最后一个函数结束。 `D.本程序的第一个函数开始,到本程序main函数结束。 3.C语言中,要求运算数必须都是整数的运算符是( C )。 A.* B.- C.% D.+ 4.假定 int i=3,j=4; 执行语句: printf("%d,%d,%d\n",i+++j,i,j); 之后,其输出结果为:( C ) A.7,4,4 B. 8,4,4 C. 7,3,4 D.7,3,5 5.对break语句的正确描述。( A ) A.break语句在while语句中,立即终止执行while语句。 B.break语句不能用于循环语句之外的语句。 C.break语句与continue语句功能相同。 D.b reak语句可以带参数,也可以不带。 6.在C语言中,下面那一个不是正确的概念( A ) A. 所有循环语句都要求至少要执行一次循环体。 B. goto和if语句能构成循环语句。 C.循环过程只能包含,不能交叉。 D.循环体可以是一条语句。 7.以下对二维数组a的正确说明是( C ) A. int a[ 3 ] [ ]; B. float a( 3, 4); C. int a[ 3 ] [ 4 ] D.float a( 3, )( 4 ); 8.对函数的正确描述( C ) A.在C语言中可以嵌套定义函数。 B.自定义函数和调用函数可以不在一个源文件中。 C.函数定义都是平行的,独立的。 D.不能嵌套调用函数。 9.若用数组名作为函数调用的实参,传给形参的是( A ) A.数组的首地址 B.数组的第一个元素值 C.数组的全部元素值 D.数组中元素的个数 10.对于 int *p; int a[]; p=a; 的合法运算( C ) A. a++; B. p=a; p++; 相当于 a++; C. ++p; 相当于 a[1]; D.若p中的地址为100则p++; 运算后,则其值为100 11.假设int x[] = {1,3,5,7,9,11}, *ptr; ptr = x; 以下那些是对数组元素的正确引用 ( D )

复试题-2012秋季微机原理电子科技大学

共4页 第1页 电子科技大学硕士研究生入学考试复试笔试试题 《微机原理与应用》试题 卷(120分钟) 考试形式:闭卷 考试日期: 2012年 9月 日 一、 选择题(每题2分,共30分) 1. 执行两个补码数的减法时,对产生溢出的正确叙述为( )。 A 、结果的最高位有借位则产生溢出 B 、结果的符号位为0则产生溢出 C 、结果的符号位为1则产生溢出 D 、结果与被减数的符号位相反,但与减数的符号位相同,则溢出 2. 计算机系统中软硬件在逻辑上是等效的,提高软件功能实现的比例将会( )。 A 、提高解题速度 B 、提高系统灵活性 C 、提高成本 D 、减少所需存储容量 3. 某微处理器的结构之所以称为超标量结构,是因为该微处理器( )。 A 、不仅能进行32位运算,也能进行64位运算 B 、内部含有多条指令流水线和多个执行部件 C 、数据传输速度很快,每个总线周期最高能传送4个64位数据 D 、芯片内部集成的晶体管数超过100万个,功耗很大 4. RISC 执行程序的速度优于CISC 的主要原因是( )。 A 、RISC 的指令数较少 B 、程序在RIS C 上编译的目标程序较短 C 、RISC 的指令平均周期数较少 D 、RISC 只允许Load/Store 指令访存 5. CPU 访问I/O 设备,实际上是访问( )。 A 、端口 B 、接口 C 、总线 D 、信号线 6. 下面关于总线的叙述中,错误的是( )。 A 、总线位宽指的是总线能同时传送的最大数据位数 B 、总线标准是指总线传送信息时应遵守的一些协议与规范 C 、PCI 总线支持突发成组传送 D 、串行总线带宽小于并行总线的带宽 7. 微码控制器的特点是( )。 A 、指令集设计必须和控制器设计一起同时考虑 B 、控制单元的输入和输出之间的关系被视为一个内存系统 C 、适合简单指令集 D 、逻辑门数目达到最小化的设计 8. 某显示器最高分辨率为1024×768、24位真彩,其所需的最小缓存是( )。 A 、1MB B 、2MB C 、3MB D 、4MB 9. 在某32位总线系统中,若时钟频率为500MHz ,传送一个32位字需要5个时钟周期,则该总线系统的数据传送速率为( )。 ……以……………内……………答……………题……………无……………效…………………考生编号 姓 名 学 院 ……………………密……………封……………线………

微机原理与接口技术(第二版)课后习题答案完整版

习题1 1.什么是汇编语言,汇编程序,和机器语言? 答:机器语言是用二进制代码表示的计算机能直接识别和执行的一种机器指令的集合。 汇编语言是面向及其的程序设计语言。在汇编语言中,用助记符代替操作码,用地址符号或标号代替地址码。这种用符号代替机器语言的二进制码,就把机器语言编程了汇编语言。 使用汇编语言编写的程序,机器不能直接识别,要由一种程序将汇编语言翻译成机器语言,这种起翻译作用的程序叫汇编程序。 2.微型计算机系统有哪些特点?具有这些特点的根本原因是什么? 答:微型计算机的特点:功能强,可靠性高,价格低廉,适应性强、系统设计灵活,周期短、见效快,体积小、重量轻、耗电省,维护方便。 这些特点是由于微型计算机广泛采用了集成度相当高的器件和部件,建立在微细加工工艺基础之上。 3.微型计算机系统由哪些功能部件组成?试说明“存储程序控制”的概念。答:微型计算机系统的硬件主要由运算器、控制器、存储器、输入设备和输出设备组成。 “存储程序控制”的概念可简要地概括为以下几点: ①计算机(指硬件)应由运算器、存储器、控制器和输入/输出设备五大基本部件组成。 ②在计算机内部采用二进制来表示程序和数据。 ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作,使计算机在不需要人工干预的情况下,自动、高速的从存储器中取出指令加以执行,这就是存储程序的基本含义。 ④五大部件以运算器为中心进行组织。 4.请说明微型计算机系统的工作过程。 答:微型计算机的基本工作过程是执行程序的过程,也就是CPU自动从程序存放

的第1个存储单元起,逐步取出指令、分析指令,并根据指令规定的操作类型和操作对象,执行指令规定的相关操作。如此重复,周而复始,直至执行完程序的所有指令,从而实现程序的基本功能。 5.试说明微处理器字长的意义。 答:微型机的字长是指由微处理器内部一次可以并行处理二进制代码的位数。它决定着计算机内部寄存器、ALU和数据总线的位数,反映了一台计算机的计算精度,直接影响着机器的硬件规模和造价。计算机的字长越大,其性能越优越。在完成同样精度的运算时,字长较长的微处理器比字长较短的微处理器运算速度快。 6.微机系统中采用的总线结构有几种类型?各有什么特点? 答:微机主板常用总线有系统总线、I/O总线、ISA总线、IPCI总线、AGP总线、IEEE1394总线、USB总线等类型。 7.将下列十进制数转换成二进制数、八进制数、十六进制数。 ①(4.75) 10=(0100.11) 2 =(4.6) 8 =(4.C) 16 ②(2.25) 10=(10.01) 2 =(2.2) 8 =(2.8) 16 ③(1.875) 10=(1.111) 2 =(1.7) 8 =(1.E) 16 8.将下列二进制数转换成十进制数。 ①(1011.011) 2=(11.6) 10 ②(1101.01011) 2=(13.58) 10 ③(111.001) 2=(7.2) 10 9.将下列十进制数转换成8421BCD码。 ① 2006=(0010 0000 0000 0110) BCD ② 123.456=(0001 0010 0011.0100 0101 0110) BCD 10.求下列带符号十进制数的8位基2码补码。 ① [+127] 补 = 01111111

电子科大微机原理期末考试

电子科技大学二零零四至二零零五学年第二学期 《微机系统原理与接口技术》课程考试题 一、填空题(每空1分,共15分) 1.PC/XT微机开机后,第一条执行的指令存放地址为()。 2.当运算结果为0时,状态标志ZF的值为()。 3.8086的INTR端输入一个()电平时,可屏蔽中断获得了中断请求。 4.32位逻辑地址5890H:3200H表示的实际地址值是()。 5.若CPU的地址总线宽度为N ,则可寻址()个存储器单元。 6.ISA总线的AEN信号线为低电平时,表示由()控制地址总线和读/写命令线。7.8086从I/O端口地址20H输入字节数据的指令是()。 8.当INT 21H中断的功能号为02H时,它的入口参数送寄存器()。 9.响应可屏蔽中断INTR的条件是控制标志IF=()。 10. 若8086的外部中断INTR、NMI同时有中断请求,应先响应()。11.PC系统中,在可屏蔽中断的第()个INTA响应周期传送中断类型码。12.串行通信协议分为串行异步通信协议和()。 13.二片可编程中断控制器8259主从连接时最多可供()个中断源。14.DAC0832有三种工作方式,即直通方式、()方式和双缓冲方式。15.在串行异步通信时,发送端和接收端的波特率设置应()。 二、单选题(每题1分,共15分) 1.计算机中数据总线驱动电路使用的基本逻辑单元是()。 A:非门B:三态门C:触发器D:译码器 2.MIPS用来描述计算机的运算速度,含义是()。 A:每秒处理百万个字符B:每分钟处理百万个字符 C:每秒执行百万条指令D:每分钟执行百万条指令 3.执行指令IRET后弹出堆栈的寄存器先后顺序为()。 A:CS、IP、F B:IP、CS、F C:F、CS、IP D:F、IP、CS 4.微机系统中,主机与硬盘的数据交换用()方式。 A:中断控制B:DMA控制C:查询控制D:无条件程序控制5.CPU对存储器访问时,地址线和数据线的有效时间关系为()。 A:同时有效B:地址线先有效C:数据线先有效D:同时无效6.8086CPU有()个16位的段寄存器。 A:2 B:4 C:8 D:16 7.两个组合十进制数86、37相加,调整指令DAA完成的是()。 A:加00H调整B:加06H调整C:加60H调整D:加66H调整8.定义过程结束的伪指令符是()。

微机原理课后答案

1.2 课后练习题 一、填空题 1.将二进制数1011011.1转换为十六进制数为__5B.8H_____。 2.将十进制数199转换为二进制数为____ 11000111____B。 3.BCD码表示的数,加减时逢__10____进一,ASCII码用来表示数值时,是一种非压缩的BCD 码。 4.十进制数36.875转换成二进制是___100100.111____________。 5.以_微型计算机____为主体,配上系统软件和外设之后,就构成了__微型计算机系统____。6.十进制数98.45转换成二进制为__1100010.0111_B、八进制__142.3463________Q、十六进制__62.7333________H。(精确到小数点后4位) 二、选择题 1.堆栈的工作方式是__B_________。 A)先进先出B)后进先出C)随机读写D)只能读出不能写入 2.八位定点补码整数的范围是____D_________。 A)-128-+128 B)-127-+127 C)-127-+128 D)-128-+127 3.字长为16位的数可表示有符号数的范围是___B___。 A)-32767-+32768 B)-32768-+32767 C)0-65535 D)-32768-+32768 三、简答题 1.微型计算机系统的基本组成? 微型计算机,系统软件,应用软件,输入输出设备 2.简述冯.诺依曼型计算机基本思想? ●将计算过程描述为由许多条指令按一定顺序组成的程序,并放入存储器保存 ●指令按其在存储器中存放的顺序执行; ●由控制器控制整个程序和数据的存取以及程序的执行; ●以运算器为核心,所有的执行都经过运算器。 3.什么是微型计算机? 微型计算机由CPU、存储器、输入/输出接口电路和系统总线构成。 4.什么是溢出? 运算结果超出了计算机所能表示的范围。 2.2 一、填空题 1. 8086/8088的基本总线周期由___4____个时钟周期组成,若CPU主频为10MHz,则一个时钟周期的时间为___0.1μs_____。 2. 在8086CPU的时序中,为满足慢速外围芯片的需要,CPU采样___READY_________信号,若未准备好,插入___TW__________时钟周期。 3. 8086系统总线形成时,须要用_____ALE__________信号锁定地址信号。 4. 对于8086微处理器,可屏蔽中断请求输入信号加在_____INTR__________引脚。

电子科技大学微机原理大题(含答案)

目录 第一章概述 (4) 阐述摩尔定律,它有什么限制? (4) 什么是Soc?什么是IP核?它有哪几种实现形式? (4) 什么是嵌入式系统?它有哪些特点? (4) 第二章计算机系统的结构组成与工作原理 (5) 说明RISC架构与CISC架构之间的区别 (5) 举例说明计算机体系结构、组成和实现之间的关系 (5) 试说明现代计算机系统中常用的并行技术及其效果? (5) 某时钟频率为1.25GHz、平均CPI为5的非流水线式处理器,其升级版本引入了6 级流水线,但因存在诸如锁存延迟等流水线内部延迟,升级版处理器的时钟频率必 须降到1GHz。 (5) 简述冯.诺依曼体系结构的核心,并分析冯.诺依曼计算机存在的瓶颈? (6) 简述冯.诺依曼计算机的实质 (6) 第三章微处理器体系结构及关键技术 (6) 试比较计算机各体系结构的优缺点 (6) 常见的流水线冒险包括哪几种?如何解决? (7) 试比较随机逻辑和微码体系结构的优缺点 (7) 什么是微码体系结构?微指令的作用是什么? (7) 第四章总线技术与总线标准 (7) 比较串、并行通信的特点,为什么现代计算机中有总线串行化的趋势? (7) 试比较同步、半同步、异步总线时序的优缺点 (8) RAM与CPU的连接有哪几类信号线?简述电路设计时需要考虑的几个问题? (8) 计算机系统的总线仲裁有哪几种类型?请简述串行总线仲裁。 (8) 什么是总线?微机中三总线是指?微机系统采用总线的好处是? (8) 第五章存储器系统 (9) 简述Cache-主存层次与主存-辅存层次的不同点。 (9) 什么是高速缓存技术和虚拟存储器技术?采用它们的目的是什么? (9) 什么是虚拟地址?试简述虚拟存储器的基本工作原理。 (9) 什么是存储器访问的局部性原理?它有哪几种含义? (9) 试为某8位计算机系统设计一个具有8KB ROM和40KB RAM的存储器。要求ROM 用EPROM芯片2732组成,从0000H地址开始;RAM用SRAM芯片6264组成,从 4000H地址开始。 (10) 为某模块设计端口地址译码电路,已知该模块的端口数为64,端口地址占用从 1130H开始的连续地址段。试给出分析过程及简单的硬件连接示意图。 (10) 简述计算机的存储器分层体系结构,并说明分层原因及各层次的主要特点 (10) DRAM为何要刷新?如何刷新? (11) 设某系统地址总线宽度为20位,数据总线宽度为8位。现采用8Kx4芯片实现32KB 存储器,要求其地址空间连续,且该扩展存储器的地址从0B0000H开始。(1)需 要多少片芯片?分几组?(2)片内地址线需要多少位?应该用哪种片选方式?多 少位高位地址线?以表格方式描述每组芯片的地址范围。(3)画出系统硬件连接图。 (11)

微机原理及接口技术课后习题答案

《微机原理与接口技术》 复习题 第1章 1.简述名词的概念:微处理器、微型计算机、微型计算机系统。 答: (1)微处理器:微处理器(Microprocessor)简称μP或MP,或CPU。CPU是采用大规模和超大规模集成电路技术将算术逻辑部件ALU(Arithmetic Logic Unit)、控制部件CU (Control Unit)和寄存器组R(Registers)等三个基本部分以及部总线集成在一块半导体芯片上构成的电子器件。 (2)微型计算机:微型计算机(Microcomputer)是指以微处理器为核心,配上由大规模集成电路制作的存储器、输入/输出接口电路及系统总线等所组成的计算机,简称微机。 (3)微型计算机系统:微型计算机系统由硬件与软件两大部分组成,分别称为硬件(Hardware)系统与软件(Software)系统。其中,硬件(Hardware)系统由CPU、存储器、各类I/O接口、相应的I/O设备以及连接各部件的地址总线、数据总线、控制总线等组成。 软件(Software)系统:计算机软件(Software)是指为运行、维护、管理、应用计算机所编制的程序及程序运行所需要的数据文档资料的总和。一般把软件划分为系统软件和应用软件。其中系统软件为计算机使用提供最基本的功能,但是并不针对某一特定应用领域。而应用软件则恰好相反,不同的应用软件根据用户和所服务的领域提供不同的功能。 2.简述名词的概念:指令寄存器、地址寄存器、标志寄存器。 答: (1)指令寄存器:指令寄存器(Instruction Register,IR)用来保存计算机当前正在执行或即将执行的指令。当一条指令被执行时,首先,CPU从存取出指令的操作码,并存入IR中,以便指令译码器进行译码分析。 (2)地址寄存器:地址寄存器(Address Register,AR)被动地接受IP传送给它的地址值(二进制地址),AR的作用是保持IP送来的地址,并且以并行方式连接输出到CPU的地址引脚上,以便CPU访问指定的存单元。 (3)标志寄存器:标志寄存器(Flags,F)是CPU中不可缺少的程序状态寄存器,因此,也称程序状态字寄存器(PSW),所谓状态是指算术或逻辑运算后,结果的状态以二进制的0或1在标志寄存器中标识出来,例如,运算结果有进位,则进位标志位CF=1,否则为0。 3.何谓IA-32处理器? 答:

电子科技大学通信原理实验实验报告2

电子科技大学通信学院 最佳接收机(匹配滤波器) 实验报告 班级 学生 学号 教师任通菊

最佳接收机(匹配滤波器)实验 一、实验目的 1、运用MATLAB软件工具,仿真随机数字信号在经过高斯白噪声污染后最佳的恢复的方法。 2、熟悉匹配滤波器的工作原理。 3、研究相关解调的原理与过程。 4、理解高斯白噪声对系统的影响。 5、了解如何衡量接收机的性能及匹配滤波器参数设置方法。 二、实验原理 对于二进制数字信号,根据它们的时域表达式及波形可以直接得到相应的解调方法。在加性白高斯噪声的干扰下,这些解调方法是否是最佳的,这是我们要讨论的问题。 数字传输系统的传输对象是二进制信息。分析数字信号的接收过程可知,在接收端对波形的检测并不重要,重要的是在背景噪声下正确的判断所携带的信息是哪一种。因此,最有利于作出正确判断的接收一定是最佳接收。 从最佳接收的意义上来说,一个数字通信系统的接收设备可以看作一个判决装置,该装置由一个线性滤波器和一个判决电路构成,如图1所示。线性滤波器对接收信号进行相应的处理,输出某个物理量提供给判决电路,以便判决电路对接收信号中所包含的发送信息作出尽可能正确的判决,或者说作出错误尽可能小的判决。 图1 简化的接收设备 假设有这样一种滤波器,当不为零的信号通过它时,滤波器的输出能在某瞬间形成信号的峰值,而同时噪声受到抑制,也就是能在某瞬间得到最大的峰值信号功率与平均噪声功率之比。在相应的时刻去判决这种滤波器的输出,一定能得到最小的差错率。 匹配滤波器是一种在最大化信号的同时使噪声的影响最小的线性滤波器设计技术。注意:该滤波器并不保持输入信号波形,其目的在于使输入信号波形失 t输出信号值相对于均方根(输出)噪声值达到真并滤除噪声,使得在采样时刻 最大。

电子科技大学模拟电路考试题及答案

………密………封………线………以………内………答………题………无………效…… 电子科技大学 二零零七至二零零八学年第一学期期末考试 模拟电路基础课程考试题A 卷(120 分钟)考试形式:开卷课程成绩构成:平时10 分,期中30 分,实验0 分,期末60 分 一(20分)、问答题 1.(4分)一般地,基本的BJT共射放大器、共基放大器和共集放大器的带宽哪个最大?哪个最小? 2.(4分)在集成运算放大器中,为什么输出级常用射极跟随器?为什么常用射极跟随器做缓冲级? 3.(4分)电流源的最重要的两个参数是什么?其中哪个参数决定了电流源在集成电路中常用做有源负载?在集成电路中采用有源负载有什么好处? 4.(4分)集成运算放大器为什么常采用差动放大器作为输入级? 5.(4分)在线性运算电路中,集成运算放大器为什么常连接成负反馈的形式?

………密………封………线………以………内………答………题………无………效…… 二(10分)、电路如图1所示。已知电阻R S=0,r be=1kΩ,R1∥R2>>r be。 1.若要使下转折频率为10Hz,求电容C的值。 2.若R S≠0,仍保持下转折频率不变,电容C的值应该增加还是减小? 图1 三(10分)、电路如图2所示。已知差模电压增益为10。A点电压V A=-4V,硅三极管Q1和Q2的集电极电压V C1=V C2=6V,R C=10 kΩ。求电阻R E和R G。 图2

………密………封………线………以………内………答………题………无………效…… 四(10分)、电路如图3所示。已知三极管的β=50,r be=1.1kΩ,R1=150kΩ,R2=47kΩ,R3=10kΩ,R4=47kΩ,R5=33kΩ,R6=4.7kΩ,R7=4.7kΩ,R8=100Ω。 1.判断反馈类型; 2.画出A电路和B电路; 3.求反馈系数B; 4.若A电路的电压增益A v=835,计算A vf,R of和R if。 图3

电子科技大学《微机原理》指定教材课后参考答案

“微机系统原理与接口技术”第七章习题解答(部分) 1.8086系统采用向量式中断,试简述8086系统中中断类型码、中断向量、中断向量表的含义及其之间的关系。 答: 中断类型码:用于区分不同的中断源,即系统中每个中断源都应该对应一个唯一的类型码。8086系统中的中断类型码以8位无符号数(00H~0FFH)表示,一共可以区分256个不同的中断源。 中断向量:中断服务程序(ISR)的入口地址,也就是ISR的第一条指令在存储器中的位置。8086系统中的中断向量由两个字(4个字节)组成,低位字表示入口的偏移地址,高位字表示入口的段基址。显然,每个中断类型码对应一个中断向量,则8086系统中共应有256个中断向量。 中断向量表:中断向量的存放地。8086系统将最低的1KB(00000H~003FFH)RAM 空间用于存放这256个中断向量。 三者之间的关系是:利用中断类型码n可以很容易地从中断向量表中找到该中断源所对应的中断向量,即:中断向量存放的起始地址m =n×4,从中断向量表的m地址单元开始连续取出的四个字节就是n号中断的ISR入口地址。8086CPU正是用这种方法完成中断索引的。 2.判断下列说法是否正确,如有错,指出错误原因并改正: (1)优先级别高的中断总是先响应、先处理。 (2)8086系统中,中断向量表存放在ROM地址最高端。 (3)PC系统中的主机总是通过中断方式获得从键盘输入的信息。 (4)80486系统和8086系统一样,将中断分为可屏蔽中断和不可屏蔽中断两种。 (5)IBM PC/XT中,RAM奇偶校验错误会引起类型码为2的NMI中断。 答: (1)可以算对。不过这个题说法本身就不太明确,应该是:“一个系统中有多个中断源同时提出中断请求时,优先级别高的中断总是先响应、先处理。” (2)错。应该是:“8086系统中,中断向量表存放在RAM地址最低端。” (3)对。 (4)错。应该是:“8086系统将中断分为内(软)中断和外(硬)中断两大类,而80486系统将广义中断分为异常和狭义中断两大类。” (5)对。 4. 8086系统的RAM存储单元中,从0000H:002CH开始依次存放23H、0FFH、00H和0F0H 4个字节的中断向量,该向量对应的中断类型码是多少?而中断类型码为14H的中断向量应存放在哪些存储单元中? 答:中断向量0F000:0FF23存放在0002CH双字单元中,说明其对应的中断类型码N=2CH÷4=0BH。 14H号中断向量的起始存放地址为4×14H=00050H,即该中断向量的偏移量部分存放在0050H和0051H单元中,段基址部分存放在0052H和0053H单元中。 5. 以下是PC机为某外设中断源装载中断服务子程序的代码,请问该外中断的类型码是

微机原理与接口技术(第二版)课后习题答案完整版

微机原理与接口技术(第二 版)课后习题答案完整版-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

习题1 1.什么是汇编语言,汇编程序,和机器语言? 答:机器语言是用二进制代码表示的计算机能直接识别和执行的一种机器指令的集合。 汇编语言是面向及其的程序设计语言。在汇编语言中,用助记符代替操作码,用地址符号或标号代替地址码。这种用符号代替机器语言的二进制码,就把机器语言编程了汇编语言。 使用汇编语言编写的程序,机器不能直接识别,要由一种程序将汇编语言翻译成机器语言,这种起翻译作用的程序叫汇编程序。 2.微型计算机系统有哪些特点具有这些特点的根本原因是什么 3. 答:微型计算机的特点:功能强,可靠性高,价格低廉,适应性强、系统设计灵活,周期短、见效快,体积小、重量轻、耗电省,维护方便。 这些特点是由于微型计算机广泛采用了集成度相当高的器件和部件,建立在微细加工工艺基础之上。 4.微型计算机系统由哪些功能部件组成?试说明“存储程序控制”的概念。答:微型计算机系统的硬件主要由运算器、控制器、存储器、输入设备和输出设备组成。 “存储程序控制”的概念可简要地概括为以下几点: ①计算机(指硬件)应由运算器、存储器、控制器和输入/输出设备五大基本部件组成。 ②在计算机内部采用二进制来表示程序和数据。 ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作,使计算机在不需要人工干预的情况下,自动、高速的从存储器中取出指令加以执行,这就是存储程序的基本含义。 ④五大部件以运算器为中心进行组织。 5.请说明微型计算机系统的工作过程。

电子科技大学实验报告撰写模板

电子科技大学 实验报告 ( 2018 - 2019 - 2 ) 学生姓名:学生学号:指导老师: 实验学时:1.5h 实验地点:基础实验大楼425 实验时间:2019.4.9 14:30—16:00 报告目录 一、实验课程名称:电路实验I 1.实验名称:BJT放大器设计与测试 二、实验目的: 1. 了解BJT管的基本放大特性。 2. 掌握BJT共射放大电路的分析与设计方法。 3. 掌握放大电路静态工作点的测试方法。 4. 掌握放大电路放大倍数(增益)的测试方法。 5. 掌握放大电路输入、输出电阻的测试方法。 6. 掌握放大电路幅频特性曲线的测试方法。 三、实验器材(设备、元器件): GDS1152A型数字示波器一台。 EE1641B1型函数发生器一台。

通用面包板一个。 1kΩ电阻;10mH电感;0.047μF电容若干。 四、实验原理:

3、测试方法 (1)静态工作点调整与测试 对直流电压的测量一般用数字万用表。测量静态工作点时测出晶体管各管脚对地的电压。 (2)放大倍数的测试 用晶体管毫伏表或者示波器直接测量输出、输入电压,由 Av=vo/vi 即可得到。(3)放大器输入电阻的测试

在放大器输入端口串入一个取样电阻R,用两次电压法测量放大器的输入电阻Ri。 (4)放大器输出电阻的测试 在放大器输出端口选择一个合适的负载电阻RL,用两次电压法分别测量空载与接上负载时的输出电压,计算输出电阻Ro。 (5)放大器频率特性的测试 用点频法测试法测量放大器的频率特性,并求出带宽。 五、实验内容: (1)静态工作点的测试 (2)电压增益测试 (3)输入电阻测试 (4)输出电阻测试 (5)幅频特性测试 六、实验数据及结果分析: 1、静态工作点调整与测试 令VCC=+12V,用万用表测量VE、VB、VC,计算VBE、IEQ、VCE,数据记入表格中。 2、放大倍数的测试 用函数发生器输出一个正弦波信号作为放大器的输入信号,设置信号频率 f =1kHz,(有效值)Ui=5mV,测量U0 ,计算放大器的电压放大倍数(增益)Av。数据填入表中,定量描绘输出波形图。

相关文档
最新文档