数字电压表实验报告

数字电压表实验报告
数字电压表实验报告

简易数字电压表

目录

摘要及关键词 (2)

一、实现方案 (3)

1.硬件选择方案 (4)

2.程序设计 (12)

二、系统的测试与结果 (17)

三、调试过程及问题解决方法 (18)

四、课题设计的收获及心得 (18)

参考文献 (18)

摘要:

本课题实验主要采用AT89S51芯片和ADC0809芯片来完成一个简易的数字电压表,能够对输入的0~5 V的模拟直流电压进行测量,并通过一个4位一体的7段LED数码管进行显示,测量误差约为0.02 V。该电压表的测量电路主要由三个模块组成:A/D转换模块、数据处理模块及显示控制模块。A/D转换主要由芯片ADC0809来完成,它负责把采集到的模拟量转换为相应的数字量再传送到数据处理模块。数据处理则由芯片AT89S51来完成,其负责把ADC0809传送来的数字量经一定的数据处理,产生相应的显示码送到显示模块进行显示;另外它还控制着ADC0809芯片的工作。显示模块主要由7段数码管及相应的驱动芯片(74HC245)组成,显示测量到的电压值。

关键词:简易数字电压表、ADC0809、AT89S51。

实现方案:

本实验采用AT89S51单片机芯片配合ADC0809模/数转换芯片构成一个简易的数字电压表,原理电路如图1-1所示。该电路通过ADC0809芯片采样输入口IN0输入的0~5 V的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道D0~D7传送给AT89S51芯片的P0口。AT89S51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口经驱动芯片74HC245驱动,再传送给数码管。同时它还通过其三位I/O口P3.0、P3.1、P3.2产生位选信号,控制数码管的亮灭。另外,AT89S51还控制着ADC0809的工作。其ALE管脚为ADC0809提供了1MHz工作的时钟脉冲;P2.3控制ADC0809的地址锁存端(ALE);P2.4控制ADC0809的启动端(START);P2.5控制ADC0809的输出允

图1-1 电路原理图

图1-2 系统框图硬件选择方案:

一.实验所需元器件:

1.AT89S51芯片 1块

2.ADC0809芯片 1块

3.74HC245芯片 2块

4.4位一体数码 1个

5.6MHz晶振1个

6.33pF电容2个

8.10uF电解电容 1个

9.按键开关1个

10.发光二极管1个

11.4.7KΩ精密电位器1个

12.510Ω电阻12个

13.8.2KΩ电阻1个

14.10KΩ电阻1个

15.导线若干

二.主要元器件的介绍

1.模数转换芯片ADC0809:

ADC0809是典型的8位8通道逐次逼近式A/D转换器,其实物如图1-3所示。它可以和微型计算机直接接口。ADC0809转换器的系列芯片是ADC0808,可以相互替换。

图1-3 ADC0809实物图

1) ADC0809内部逻辑结构

图1-4 ADC0809的内部逻辑结构及引脚图

ADC0809的内部逻辑结构如图1-4所示。图中多路模拟开关可选通8路模拟通道,允许8路模拟量分时输入,并共用一个A/D转换器进行转换。地址锁存与译码电路完成对A、B、C三个地址位进行锁存与译码,如表1-1所示。

2) ADC0809的引脚

ADC0809芯片为28引脚双列直插式封装,其引脚排列如图1-4所示。

(1)IN0~IN7:8路模拟量输入通道。

(2)A、B、C:模拟通道地址线。这3根地址线用于对8路模拟通道的选择,其译码关系如表1-1所示。其中,A为低地址,C为高地址,引脚图中为ADDA,ADDB和ADDC。

(3)ALE:地址锁存允许信号。对应ALE上跳沿,A、B、C地址状态送入地址锁存器中。

(4)START:转换启动信号。START上升沿时,复位ADC0809;START下降沿时启动芯片,开始进行A/D转换;在A/D转换期间,START应保持低电平。本信号有时简写为ST。

(5)D7~D0:数据输出线。为三态缓冲输出形式,可以和单片机的数据线直接相连。D0为最低位,D7为最高。

(6)OE:输出允许信号。用于控制三态输出锁存器向单片机输出转换得到的数据。OE=0,输出数据线呈高阻;OE=1,输出转换得到的数据。

(7)CLK:时钟信号。ADC0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。通常使用频率为500KHz的时钟信号。

(8)EOC:转换结束信号。EOC=0,正在进行转换;EOC=1,转换结束。使用中该状态信号即可作为查询的状态标志,又可作为中断请求信号使用。

(9)Vcc: +5V电源,GND:地。

(10)Vref:参考电压。参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基准。其典型值为+5V(Vref(+)=+5V, Vref(-)=0V)。

3) ADC0809的工作原理:

首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 A/D转换,之后EOC输出信号变低,指示转换正在进行。直到A/D转换完成,EOC变为高电平,指示A/D 转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上。

(注意:ALE信号常与START信号连在一起,这样连接可以在信号的前沿写入地址信号,在其后沿启动A/D转换,图1-5为ADC0809信号的时序配合图)。

图1-5 ADC0809信号的时序配合

2.数据处理及控制芯片AT89S51:

AT89S51是美国ATMEL公司生产的低功耗、高性能CMOS 8位单片机。图1-6和1-7分别为其实物图和内部总体结构图。AT89S51片内含有4k字节Flash闪速存储器,128字节内部 RAM,32个I/O 口线,看门狗(WDT),两个数据指针,两个16 位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,S51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许 RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存 RAM中的内容,但振荡器停止工作并禁止其它所有部件工作直到下一个硬件复位。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89S51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

图1-6 A T89S51实物图

1)主要性能参数

与MCS-51产品指令系列完全兼容;

4K字节在系统编程(ISP)Flash闪速存储器;

1000次擦写周期;

4.0~

5.5 V工作电压范围;

全静态工作模式:0Hz~33MHz;

三级程序加密锁;

128字节内部RAM;

32个可编程I/O口线;

2个16位的定时/计数器;

6个中断源;

图1-7 AT89S51芯片内部总体结构图

全双工串行UART通道;

低工耗空闲和掉电模式;

中断可从空闲模式唤醒系统;

看门狗(WDT)及双数据指针;

掉电标识和快速编程特性;

灵活的在系统编程(ISP-字节或页写模式)。

2) AT89S51的引脚:

AT89S51芯片为40引脚双列直插式封装,其引脚排列如图1-8所示。

图1-8 AT89S51的引脚图

(1)Vcc:电源电压;

(2)GND:接地;

(3)P0口:P0口是一组8位漏极开路双向I/O口,每位引脚可驱动8个TTL逻辑门路。对P0口的管脚写“1”时,被定义为高阻抗输入。在访问外部数据存储器或程序存储器时,它可以被定义为数据总线和地址总线的低八位。在FLASH编程时,P0 口作为原码输入口;当FLASH进行校验时,P0输出原码,此时P0外部必须接上拉电阻。

(4)P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口的输出缓冲器可驱动4个TTL逻辑门电路。对P1口管脚写入“1”后,被内部上拉电阻拉高,可用作输入。P1口被外部下拉为低电平时,将输出电流,这是由于内部接有上拉电阻的缘故。在FLASH编程和校验时,P1口作为低八位地址接收。P1口还具有第二功能,如表1-2所示。

(5)P2口:P2口是一个内部提供上拉电阻的8位双向I/O口,P2口的输出缓冲器可驱动4个TTL逻辑门电路。对P2口管脚写入“1”后,被内部上拉电阻拉高,可用作输入。P2口被外部下拉为低电平时,将输出电流,这是由于内部接有上拉电阻的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在访问8位地址外部数据存储器时,P2口线上的内容,在整个访问期间不改变。P2

(6)P3口:P3口是一个内部提供上拉电阻的8位双向I/O口,P3口的输出缓冲器可驱动4个TTL逻辑门电路。对P3口管脚写入“1”后,被内部上拉电阻拉高,可用作输入。P3口被外部下拉为低电平时,将输出电流,这是由于内部接有上拉电阻的缘故。P3口除了一般I/O线的功能外,还具有更为重要的第二功能,如表1-3所示。P3口同时为FLASH 编程和编程校验接收一些控制信号。

(7) RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

(8)ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地

位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

(9)PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次PSEN有效。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。

(10)EA /VPP:当EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,EA将内部锁定为RESET;当EA端保持

高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

(11)XTAL1:片内高增益反向放大器的输入及内部时钟工作电路的输入。

(12)XTAL2:片内高增益反向放大器的输出端。

3) AT89S51与AT89C51的区别

(1)ISP在线编程功能,这个功能的优势在于改写单片机存储器内的程序不需要把芯片从工作环境中剥离。是一个强大易用的功能。

(2)工作频率为33MHz,大家都知道89C51的极限工作频率只有24M,就是说S51具有更高工作频率,从而具有了更快的计算速度。

(3)具有双工UART串行通道。

(4)内部集成看门狗计时器,不再需要像89C51那样外接看门狗计时器单元电路。

(5)双数据指示器。

(6)电源关闭标识。

(7)全新的加密算法,这使得对于89S51的解密变为不可能,程序的保密性大大加强,这样就可以有效的保护知识产权不被侵犯。

(8)兼容性方面:向下完全兼容51全部字系列产品。比如8051、89C51等等早期MCS-51兼容产品。在89C51上一样可以照常运行,这就是所谓的向下兼容。

4) AT89S51的复位电路

AT89S51的复位电路如图1-9所示。当单片机一上电,立即复位;另外,如果在运行中,外界干扰等因素使单片机的程序陷入死循环状态或“跑飞”,就可以通过按键使其复位。复位也是使单片机退出低功耗工作方式而进入正常状态的一种操作。

图1-9 复位电路

电容C和电阻R1实现上电自动复位。增加按键开关S和电阻R2又可实现按键复位功能。R2的作用是在S按下的时候,防止电容C放电电流过大烧坏开关S的触点。因保证R1/R2 >10。一般取C=10uF,R2=100Ω,R1=8.2KΩ。

5) AT89S51与ADC0809的连接

AT89S51与ADC0809的连接电路如图1-10所示。

AT89S51与ADC0809的连接必须注意处理好3个问题:

(1)在START端送一个100ns宽的启动正脉冲;

(2)获取EOC端上的状态信息,因为它是A/D转换的结束标志;

(3)给“三态输出锁存器”分配一个端口地址,也就是给OE端送一个地址译码器的输出信号。

图1-10 AT89S51与ADC0809的连接电路

3.驱动芯片74HC245

74HC245为三态输出的八组总线收发器,在本实验中作为驱动芯片使用,用于驱动数码管的点亮。图1-11和图1-12分别为其实物图和引脚图。

(1)A:A总线端;

(2)B:B总线端;

(3)G:三态允许端(低电平有效);

(4)DIR:方向控制端;

(5)Vcc:电源;

(6)GND:地。

图1-11 74HC245的实物图图1-12 74HC245引脚图

4. 4位一体7段LED数码管

测量到的电压值。它是一个共阳极的数码管,每一位数码管的原理图如图1-13所示。每一位数码管的a,b,c,d,e,f,g和dp端都各自连接在一起,用于接收AT89S51的P1口产生的显示段码。1,2,3,4引脚端为其位选端,用于接收AT89S51的P3口产生的位选码。图1-14和图1-15分别为其实物图和引脚图。

图1-13 一位数码管的原理图

图1-14 SM410564数码管实物图图1-15 SM410564数码管引脚图

程序设计

1.主程序设计

初始化中主要对AT89S51,ADC0809的管脚和数码管的位选及所用到的内存单元70H,78H,79H,7AH 进行初始化设置。

准备工作做好后便启动ADC0809对IN0脚输入进的0~5V电压模拟信号进行数据采集并转换成相对应的0~255十进制数字量。

在数据处理子程序中,运用标度变换知识,编写算法将0~255十进制数字量转换成0.00~5.00V的数据,输出到显示子程序进行显示。

整个主程序就是在A/D转换,数据处理及显示程序循环执行。整个程序流程框图如图1-16所示。

2.各子程序设计

1)A/D 转换子程序

启动ADC0809对模拟量输入信号进行转换,通过判断EOC (P3.1引脚)来确定转换是否完成,若EOC 为0,则继续等待;若EOC 为1,则把OE 置位,将转换完成的数据存储到70H 中。

程序流程图如图1-17所示。

图1-16 主程序流程图 图1-17 A/D 转换程序流程图

2)数据处理子程序

数据处理子程序主要根据标度变换公式1-1,把0~255十进制数转换为0.00~5.00V

00)

(N N N N A A A A m X m X ---+= (1-1)

X A :模拟测量值;0A :模拟输入最小值; m A :模拟输入最大值;

X N :模数转换后的值;0N :模/数转换后的最小值;m N :模/数转换后的最大值。 在本设计中,根据要求知:0A =0V, m A =5V, 0N =0, m N =255,则公示1-1可化简为:

51

255·5)

(0000X X m X m X N

N N N N N A A A A ==---+= (1-2)

程序流程图如图1-18所示。

3)显示子程序

显示子程序采用动态扫描法实现三位数码管的数值显示。测量所得的A/D转换数据放在70H内存单元中,测量数据在显示时需转换成10进制BCD码放在78H~7AH单元中。寄存器R1用作显示数据地址指针。程序流程图如图1-19所示。

图1-18 数据处理子程序流程图图1-19 显示子程序流程图

3.源程序

ORG 0000H

LJMP START

ORG 0003H

RETI

ORG 000BH

RETI

ORG 0013H

RETI

ORG 001BH

RETI

ORG 0023H

RETI

ORG 002BH

RETI

ORG 0030H

;初始化参数

START: CLR A

SETB P3.7 ;初始化EOC

CLR P3.0 ;初始化LED位选,全不选中。

CLR P3.1

CLR P3.2

MOV P2 , A ;初始化P2口,清除对ADC0809的控制信号。

MOV 70H , A ;初始化数据采样后存储空间。

MOV 78H , A ;初始化数据处理后3位有效数字的存储空间(78H最高位,7AH最低位)。

MOV 79H , A

MOV 7AH , A

MOV A , #0FFH ;初始化P0,P1口,写入高电平。

MOV P0 , A

MOV P1 , A

;主程序

MAIN: LCALL AD_SUB ;调用A/D转换子程序,开始采样并转换。

LCALL TURN_SUB ;调用数码转换子程序,将采样转换来的0-255转换成一一对应的

;0.00-5.00

LCALL DISP_SUB ;调用显示子程序。

LJMP MAIN

;============================================================================== ;A/D转换子程序

AD_SUB: CLR A

MOV P2 , A ;初始化P2口,清除对ADC0809的控制信号。

MOV R0 , #70H

LCALL AD_ST ;调用采样转换子程序

WAIT: JB P3.7 , DATASA VE ;判断采样转换是否完毕,完毕则跳转到DATASAVE进行存储。

;否则继续等待。

AJMP WAIT

;启动采样,送脉冲时序

AD_ST: SETB P2.3 ;ALE 脉冲时序

NOP

NOP

CLR P2.3

SETB P2.4 ;START 脉冲时序(上跳清零,下跳开始转换)

NOP

NOP

CLR P2.4

NOP

NOP

RET

DATASA VE: SETB P2.5 ;置位OE端,允许ADC0809输出数据

MOV A , P0 ;将转换的数据存储到70H中

MOV @R0 , A

CLR P2.5

CLR A ;初始化P0,P1,P2口(P0,P1高电平,P2低电平)

MOV P2 , A

MOV A , #0FFH

MOV P0 , A

MOV P1 , A

RET

;将0~255转换为0.00~5.00

TURN_SUB: MOV A , @R0

MOV B , #51

DIV AB

MOV 78H , A ;以上这一段是整数部分(个位)放入78H

MOV A , B ;余数部分放入A

CLR F0

SUBB A , #1AH ;余数和51的一半即1AH比较,以便四舍五入

MOV F0 , C

MOV A , #10

MUL AB ;余数乘以10,以便再除以51

MOV B , #51

DIV AB

JB F0 , LOOP1 ;判断四舍五入,跳到LOOP1是“四舍”

ADD A , #5 ;这是“五入”

LOOP1: MOV 79H , A ;十分位

MOV A , B

CLR F0

SUBB A , #1AH

MOV F0,C

MOV A , #10

MUL AB

MOV B , #51

DIV AB

JB F0 , LOOP2

ADD A , #5

LOOP2: MOV 7AH , A ;百分位

RET

;============================================================================== ;显示子程序

DISP_SUB: MOV R1 , #78H ;R1辅助寄存器,用于存放要显示的数据的地址(初始为最高位78H) CLR A

MOV P1 , #0FFH ;初始化P1,P2口(P1高电平,P2低电平)

ANL P2 , A

CLR P1.7 ;显示最高位(个位)后的小数点

SETB P3.0 ;选中最高位LED数码管

LCALL DELAY ;调用延迟子程序

CLR P3.0 ;取消最高位位选

INC R1 ;提取第二位有效数字(十分位)的数据地址(79H)

LCALL PLAY ;调用显示位码子程序

SETB P3.1 ;选中第二位LED数码管

LCALL DELAY ;调用延迟子程序

CLR P3.1 ;取消第二位位选

INC R1 ;提取最低位(百分位)的数据地址(7AH)

LCALL PLAY ;调用显示位码子程序

SETB P3.2 ;选中最低位LED数码管

LCALL DELAY ;调用延迟子程序

CLR P3.2 ;取消最低位位选

RET

;位码显示

PLAY: MOV A , @R1 ;送偏移量

MOV DPTR , #TAB ;送表首地址

MOVC A , @A+DPTR ;查表得出相应LED段码

MOV P1 , A ;输出显示

RET

;============================================================================== ;延时程序

DELAY: MOV R6 , #10H

DL1: MOV R7 , #10H

DL2: DJNZ R7 , DL2

DJNZ R6 , DL1

RET

;============================================================================== ;0-9段码

TAB: DB 0C0H , 0F9H , 0A4H , 0B0H , 99H , 92H , 82H , 0F8H , 80H , 90H

END

系统的测试及实验结果

1.硬件实物图

2.测量结果

系统调试中遇到的问题及解决的方法

1)实验初期,我们选用的是三极管作为数码管的驱动元件,但是在测试过程中发现数码管显示不稳定,发生频闪现象,而且亮度不够。我们就换用了74HC245芯片作为驱动元件,解决了上述问题。

2)在应用滤波电容的过程中,一开始是把电容串联在电路中,导致电路无法导通,而后我们短路电容,解决了问题。

3)电源指示灯上,一开始发现接上电源,指示灯不亮,经过仪器测量发现正负极接反,后重新焊接,问题解决。

4)由于源程序的多处错误,使得仿真无法通过,后经过单步调试,把存在的错误一一排除,通过了软件仿真。

5)在烧录芯片的过程中,由于选择烧录文件的错误及芯片自身问题(因多次烧录,无法再次烧录)使得烧录失败,后经过老师指导并更换了AT89C51芯片,解决了问题。

心得体会

通过本次课题设计,我们对单片机这门课程有了更进一步的了解。无论是在其硬件连接方面还是在软件编程方面,都取得了新的收获。本次实验采用了AT89S51单片机芯片,与以往我们我们所熟悉的C51芯片有许多不同之处,通过本次实验及查阅相关资料,我们对其之间的区别有了一定的认识,在本课题设计报告的硬件介绍部分也对其作了详细的论述。S51在C51的基础上增加了许多新的功能,使其功能更为完善,应用领域也更为广泛。另外,在对单片机编程方面,我们又掌握了一些新的编程思想,使得程序更为简练、易懂,而且更为严谨,程序执行的稳定性得到了提高。

实验中我们还用到了模/数转换芯片ADC0809,以前在学单片机这门课程时只是对其理论知识有了初步的了解。通过本次实验,我们对它的工作原理彻底理解了,对其启动设置、转换结束判断以及输出控制等都基本掌握。电路连接方面,我们对其与单片机的连接也有了更为直观的认识,通过实验的摸索以及必要的理论知识,我们准确的实现了它于单片机的互连。

这是我们第一次涉及实物元器件焊接的实验,对焊接技术掌握的还不够。另外,在布线方面,我们也存在一些问题,导致我们做好的电路板在外观上不怎么美观。在以后的实验中,我们会吸取经验,不断提高在这方面的能力。

参考文献

1.( 978-7-301-10760-7/TN 0032) 魏立峰王宝兴、《单片机原理及应用技术》、2006年8月、第一版、北京大学出版社、P165~P169。

2.( 9787560935911) 胡乾斌、《单片机原理与应用》、2006年2月、第二版、华中科技大学出版社、P20~P24。

3.( 9787810778374) 李广弟朱月秀冷祖祁、《单片机基础》、2007年6月、第一版、北京航空航天大学出版社、P65~P67。

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

用电压表和电流表测电阻实验报告

用电压表和电流表测电阻实验报告(人教版) 1、实验目的:_______________________________________________________ 2、实验器材:__________、__________、__________、__________、__________、 __________、_________________。 3、实验电路图:(如右图所示) 4、实验原理:______________________ 5、实验注意事项: 压表都应处于最大量程,滑动变阻器的电阻处于电阻最大的状态,开关应断开。 ②连接完毕,能够试触一下,闭合开关,如发现指针摆动过大,指针反向偏转等情况,应立即断开电源,避免损坏电表。 ③用滑动变阻器改变电路中电流时,电表的量程要恰当,选择电表的量程过大,指针偏转过小,会影响读数的精确度,电表每次的读数相差要尽量大些,以减小实验误差。 ④数据处理可采用计算法,即根据每一组的电压和电流强度值,根据R U I 计算电阻 值,再取平均值。 6、实验步骤: A.按电路图连接线,此时电键应处于断开状态,且让滑动变阻器,处于最大电阻值。 B.估算或用试触确定所选伏特表和安培表量程是否恰当,若不当,则调整。 C.检查电路无误后,接通电路,开始实验。 D.闭合开关,观察和记录安培表,伏特表的示数填入下面表格中(或自己设计表格)。 E.改变滑动变阻器滑动片的位置,重复步骤D,并根据欧姆定律计算出三次测量的 平均值。 数据 次数 U(伏)I(安)Rx(欧) Rx的平均值(欧) 1 2 3 (3)计算出Rx的三次阻值,求出Rx的平均值。Rx=(Rx1+Rx2+Rx3)/3 7、实验结果:Rx=(R1+R2+R3)/3=_______________________=________欧姆 8、整理器材:实验完毕要整理好仪器。 ☆☆☆(实验要求:积极动手,按要求操作,记录数据、计算结果要实事求是。实 验完毕后,将导线取下捆成一捆,并将仪器排放整齐。) ☆☆☆ 1.★串联、并联电路的特点: 在使用欧姆定律对电路实行判定和计算时必须要充分利用串联,并联电路的特点。 1、串联电路的特点: ⑴在串联电路中,电流强度处处相等 用公式写出为I总=I1=I2=I3=…… ⑵在串联电路中,总电压等于各段电压之和

电压表实验报告

程序: #include #define uchar unsigned char #define uint unsigned int #define ADGO ADCON0bits.GO #define fmq PORTEbits.RE0 #define m1l 57904 //低8度#define m2l 58736 #define m3l 59472 #define m4l 59804 #define m5l 60432 #define m6l 60992 #define m7l 61488 #define m1 61712 //中 #define m2 62168 #define m3 62500 #define m4 62672 #define m5 62984 #define m6 63264 #define m7 63512 #define m1h 63624 //高8度#define m2h 63832 #define m3h 64048 #define m4h 64104 #define m5h 64260

#define m6h 64400 #define m7h 64524 #define p 1000 //节拍时长 #define ph p/2 //半拍 #define pd p*2 //双拍 #define pf p*3/4 #define pg p/4 uint song[]={m6l,m1,m3,m4,m2,m3,m4,m6,m5,m4,m3,m1,m1,m2,m3,m5,m4,m3,m2,m2,m2,m7l,m1, m2,m4,m3,m2,m2,m2,m2,m3,m3,m3,m5,m6,m3,m3,m3,m3,m5,m2,m2,m2,m3,m5,m2,m2,m3,m6 l,m6l};//《手掌心》简谱 uint time[]={p,ph,ph,pf*2,pg,pg,ph,ph,ph,ph,p,ph,pg,pg,ph,ph,ph,pg,pg,p,ph,pg,pg,ph,ph,pg,pg,pg,pg, pg,pf,pd,ph,ph,pg,pg,pg,pg,pg,ph,pg,p,ph,ph,ph,ph,pg,ph,pg,p};//对应的歌曲节拍 uint total = 50; uint counter = 0,num = 0, i = 0; uint flag=0; uint a1=0,a2=0,a3=0,a4=0; uint lednum=0; uchar num_h[]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10};//共阳数码管“0.—9.”定义 uchar num_l[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};//共阳数码管“0 --9”定义 void Delay25us(unsigned int x);//延时函数声明 unsigned int AD_Trs();//Ad转换函数声明 void display(void);//数码管显示函数声明 void Tmr_Init(void);//定时器初始化函数声明 void High_Interrupt(void);//定时器中断跳转函数声明 void Stopwatch(void);//定时器中断执行函数声明 void main(void)//主函数 { WDTCONbits.SWDTEN = 0;//关闭看门狗 TRISC=0X00;//输入输出端口初始化 TRISD=0X00; PORTC=0X00; TRISE=0X00; PORTEbits.RE0=0;//用于音乐输出 TRISAbits.TRISA0=1; //AD转换采集电压输入 PORTAbits.RA0=0; ADCON0=0x01;//使能ADC,模拟通道选择AN0(RA0) ADCON1 = 0x00;//正负参考电压从单片机内部获取 ADCON2 = 0xa5;//A/D 转换结果格式为右对齐

电位差计校准电表实验报告(完整版)

电位差计校准电流表

3 、电位差计的标准 要想使回路的工作电流等于设计时规定的标准值I O ,必须对电位差计进行校准。方法如图所示。E S 是已知的标准电动势,根据它的大小,取cd 间电阻为R cd ,使R cd =E S /I O ,将开关K 倒向E S ,调节R 使检流计指针无偏转,电路达到补偿,这时I O 满足关系I O = E S /R cd ,由于已知的E S 、R cd 都相当准确,所以I O 就被精确地校准到标准值,要注意测量时R 不可再调,否则工作电流不再等于I O 。 4﹑电流表的校准 校正电流表的电路如图5-20-4所示,图中毫安表为被校准电流表,R 为限流器,s R 为标准电阻,有4个接头,上面两个是电流接头,接电流表,下面两个是电压接头,接电位差计。电位差计可测出s R 上的电压s U ,则流过s R E R a b c d Es Ex K 图5-20-4 电位差计校正电流表电路

中电流的实际值为s s R U I /0= 在毫安表上读出电流指示值I ,与0I 进行比较,其差值0I I I -=?称为电流表指示值的绝对误差。找出所测值中的最大绝对误差m I ?,按式(0-0-1)确定电流表级别。 %100??= 量限 m I a (0-0-1) 电路实物图: 五、实验内容及步骤 1、校准学生式电位差计 使用电位差计之前,先要进行校准,使电流达到规定值。先放好R A 、R B 和R C ,使其电压刻度等于标准电池电动势,取掉检流计上短路线,用所附导线将K 1、K 2、K 3、G 、R 、R b 和电位差计等各相应端钮间按原理线路图进行连接,经反复检查无误后,接入工作电源E ,标准电池E S 和待测电动势E X ,R b 先取电阻箱的最大值,(使用时如果检流计不稳定,可将其值调小,直到检流计稳定为止),合上K 1、K 3,将K 2推向E S (间歇使用),并同时调节R ,使检流计无偏转(指零),为了增加检流计灵敏度,应逐步减少R b ,如此反复开、合K 2 ,确认检流计中无电流流过时,则I O 已达到规定值。

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

测量电压实验报告

测量电压实验报告 篇一:基于Labview的电压测量仿真实验报告 仿真实验一基于Labview的电压测量仿真实验 一、实验目的 1、了解电压测量原理; 2、通过该仿真实验熟悉虚拟仪器技术——LABVIEW的简单编程方法; 3、通过本次实验了解交流电压测量的各种基本概念。 二、实验仪器 微机一台、LABVIEW8.5软件三、实验原理 实验仿真程序如下(正弦波、三角波、锯齿波、方波(占空比30%、50%、60%): 四、实验内容及步骤 (1)自己编写LABVIEW仿真信号源实验程序,要求可以产生方波(占空比 可调)、正弦波、三角波、锯齿波等多种波形,而且要求各种波形的参数可调、可控。 (2)编写程序对各种波形的有效值、全波平均值、峰

值等进行测量,在全波平均值测量时要注意程序编写过程。同时记录各种关键的实验程序和实验波形并说明。 实验所得波形如下:(正弦波、三角波、锯齿波、方波(占空比30%、50%、60%): 正弦波: 三角波: 锯齿波: 方波(占空比30%): 方波(占空比50%): 方波(占空比60%): (3)对各种波形的电压进行测量,并列表记录。如下表: 五、实验小结 由各波形不同参数列表可知,电压量值可以用峰值、有效值和平均值表征。被测电压是非正弦波的,必须根据电压表读数和电压表所采用的检波方法进行必要地波形换算,才能得到有关参数。 篇二:万用表测交流电压实验报告1

万用表测交流电压实验报告 篇三:STM32 ADC电压测试实验报告 STM32 ADC电压测试实验报告 一、实验目的 1.了解STM32的基本工作原理 2. 通过实践来加深对ARM芯片级程序开发的理解 3.利用STM32的ADC1通道0来采样外部电压值值,并在TFTLCD模块上显示出来 二、实验原理 STM32拥有1~3个ADC,这些ADC可以独立使用,也可以使用双重模式(提高采样率)。STM32的ADC是12位逐次逼近型的模拟数字转换器。它有18个通道,可测量16个外部和2个内部信号源。各通道的A/D转换可以单次、连续、扫描或间断模式执行。ADC的结果可以左对齐或右对齐方式存储在16位数据寄存器中 接下来,我们介绍一下执行规则通道的单次转换,需要用到的ADC寄存器。第一个要介绍的是ADC控制寄存器(ADC_CR1和ADC_CR2)。ADC_CR1的各位描述如下: ADC_CR1的SCAN位,该位用于设置扫描模式,由软件

电表的改装与校准实验报告.doc

大学物理实验报告 实验时间: 2016 年 3 月 14 日 实验名称: 电表的改装与校准 成绩: 学号: 73 实验目的: 班级: 自动化 153 班 姓名:廖俊智 1、测量微安表头的内电阻 R g ,量程 I g 2、掌握将 100uA 表头改装成 10mA 的电流表和 5V 电压表的方法; 3、学会校准电流表和电压表的方法。 图 3 实验仪器: 用于改装的微安表头、数字多用表、电阻箱、滑动变阻器、直流稳压电流、导线等。 实验原理: 1. 微安表头的内电阻 R g ,量程 I g 的测定 测量内阻 R g 的方法很多,本实验采用替代法。如图 1 所示。当被改电流计 ( 表头 ) 接在电路中 时,选择适当的电压 E 和 I E R R 值使表头满偏, 记下此时标准电流表的读数 a ;不改变电压 W 和 W 的 值,用电阻箱 R 13 替代被测电流计,调节电阻箱 R 13 的阻值使标准电流表的读数仍为 I a ,此时电阻 箱的阻值即为被测电流计的内阻 R g 。 + – mA 1 被改装电流计 + – ° ° mA ° 2 ° ° ° R 13 E R W 1.将 A 表头改装成大量程的电流表 因为微安表头的满刻度电流 ( 量程 ) 很小,所以在使用表头测量较大的电流前, 需 要扩大它的电流量程。扩大量程的方法是,在表头两端并联一个阻值较小的电阻 R P (如图 1)使流过表头的电流只是总电流的一部分。表头和 R P 组成的整体就是电流 表。 R P 称为分流电阻。选用不同阻值的 R P 可以得到不同量程的电流表。 在图 1 中,当表头满度时,通过电流表的总电流为 I ,通过表 图 1

基于某STC89C52的数字电压表设计报告材料

荆楚理工学院 单片机课程设计成果 学院: 电子信息工程学院班级: 13电气2班 学生姓名:xxx学号:xxxxxxxxxxxxxxxx 设计地点(单位)单片机实验室D1302 设计题目:数字电压表 完成日期:2015年7月3日 指导教师评语: _________________________________ 成绩(五级记分制): 教师签名:

摘要 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以STC89C52单片机为核心,以逐次逼近式A/D转换器ADC0809、数码管显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的STC89C52单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上。根据需要本设计采用逐次逼近型A ∕D转换器ADC0809进行模数转换。 3、数码管显示部分。其中一位为整数部分,其余位小数部分。 关键词:STC89C52 模数转换数码管显示

目录 1.方案设计与论证 (4) 1.1方案设计 (4) 1.2方案论证 (4) 2.系统硬件电路设计 (4) 2.1系统原理框图 (4) 2.2 A/D转换电路 (5) 2.3单片机主控电路 (5) 2.4电压显示电路 (7) 2.5总体电路设计 (8) 3.系统测试 (10) 3.1测试方法与结果 (10) 3.2测试结论 (11) 3.3误差分析 (11) 4.设计总结 (11) 参考文献 (13) 附录 (14)

高电压技术实验实验报告(二)

----高电压技术实验报告 高电压技术实验报告 学院电气信息学院 专业电气工程及其自动化

实验一.介质损耗角正切值的测量 一.实验目的 学习使用QS1型西林电桥测量介质损耗正切值的方法。 二.实验项目 1.正接线测试 2.反接线测试 三.实验说明 绝缘介质中的介质损耗(P=ωC u2 tgδ)以介质损耗角δ的正切值(tgδ)来表征,介质损耗角正切值等于介质有功电流和电容电流之比。用测量tgδ值来评价绝缘的好坏的方法是很有效的,因而被广泛采用,它能发现下述的一些绝缘缺陷: 绝缘介质的整体受潮; 绝缘介质中含有气体等杂质; 浸渍物及油等的不均匀或脏污。 测量介质损耗正切值的方法较多,主要有平衡电桥法(QS1),不平衡电桥法 及瓦特表法。目前,我国多采用平衡电桥法,特别是 工业现场广泛采用QS1型西林电桥。这种电桥工作电 压为10Kv,电桥面板如图2-1所示,其工作原理及操 作方法简介如下: ⑴.检流计调谐钮⑵.检流计调零钮 ⑶.C4电容箱(tgδ)⑷.R3电阻箱 ⑸.微调电阻ρ(R3桥臂)⑹.灵敏度调节钮 ⑺.检流计电源开关⑻.检流计标尺框 ⑼.+tgδ/-tgδ及接通Ⅰ/断开/接通Ⅱ切换钮 ⑽.检流计电源插座⑾.接地 ⑿.低压电容测量⒀.分流器选择钮⒁.桥体引出线 1)工作原理: 原理接线图如图2-2所示,桥臂BC接入标准电容C N (一般C N =50pf),桥臂BD由固定的无感电阻R 4 和可调电 容C 4并联组成,桥臂AD接入可调电阻R 3 ,对角线AB上接 QS1西林电桥面板图

入检流计G ,剩下一个桥臂AC 就接被试品C X 。 高压试验电压加在CD 之间,测量时只要调节R 3和C 4就可使G 中的电流为零,此时电桥达到平衡。由电桥平衡原理有: BD CB AD CA U U U U = 即: BD CB AD CA Z Z Z Z = (式2-1) 各桥臂阻抗分别为: X X X X CA R C j R Z Z ?+= =?1 44441R C j R Z Z BD ?+==? 33R Z Z AD == N N CB C j Z Z ?1= = 将各桥臂阻抗代入式2-1,并使等式两边的实部和虚部分别相等,可得: 3 4 R R C C N X ? = 44R C tg ??=?δ (式2-2) 在电桥中,R4的数值取为=10000/π=3184(Ω),电源频率ω=100π,因此: tg δ= C 4(μf ) (式2-3) 即在C 4电容箱的刻度盘上完全可以将C 4的电容值直接刻度成tg δ值(实际上是刻度成tg δ(%)值),便于直读。 2)接线方式: QS1电桥在使用中有多种接线方式,如下图所示的正接线、反接线、对角接线,低压测量接线等。 正接线适用于所测设备两端都对地绝缘的情况,此时电桥的D 点接地,试验高电压在被试品及标准电容上形成压降后,作用于电桥本体的电压很低,测试操作很安全也很方便,而且电桥的三根引出线(C X 、C N 、E )也都是低压,不需要与地绝缘。 反接线适用于所测设备有一端接地的情况,这时是C 点接地,试验高电压通过电桥加在被试品及标准电容上,电桥本体处于高电位,在测试操作时应注意安全,电桥调节手柄应保证具有15kv 以上的交流耐压能力,电桥外壳应保证可靠接地。电桥的三根引出线为高压线,应对地绝缘。 对角接线使用于所测设备有一端接地而电桥耐压又不够,不能使用反接线的情况,但这种接线的测量误差较大,测量结果需进行校正。 低压接线可用来测量低压电容器的电容量及tg δ值,标准电容可选配0.001μf (可测C X 范围为300pf ~10μf )或0.01μf (可测C X 范围为3000pf ~100μf ) 3.分流电阻的选择及tg δ值的修正:

电路仿真实验报告

本科实验报告 实验名称:电路仿真 实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或

AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源 I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描点数为10,观察输出节点为Vout响应。 TRAN分析:分析5个周期输出节点为Vout的时域响应。 实验结果: 要求将实验分析的数据保存 (包括图形和数据),并验证结果是否正确,最后提交实验报告时需要将实验结果附在实验报告后。 根据并联谐振电路原理,谐振时节点out电压最大且谐振频率为w0=1/LC=1000 10,f0=w0/2 =503.29Hz 谐振时节点out电压 * 理论值由分压公式得u=2000/(2000+10)*5=4.9751V.

数字电压表课程设计报告

湖南科技大学 信息与电气工程学院 课程设计报告 课程单片机原理及应用 题目:数字电压表 专业: 班级: 姓名: 学号: 任务书

1数字电压表的概述 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。 数字电压表的诞生打破了传统电子测量仪器的模式和格局。它显示清晰直观、读数准确,采用了先进的数显技术,大大地减少了因人为因素所造成的测量误差事件。数字电压表是把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式,并加以显示的仪表。数字电压表把电子技术、计算技术、自动化技术的成果与精密电测量技术密切的结合在一起,成为仪器、仪表领域中独立而完整的一个分支,数字电压表标志着电子仪器领域的一场革命,也开创了现代电子测量技术的先河。本设计采用了以单片机为开发平台,控制系采用 AT89C52单片机,A/D转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便进

行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。简易数字电压测量电路由 A/D转换、数据处理、显示控制等组成。 模拟式电压表具有电路简单、成本低、测量方便等特点,但测量精度较差,特别是受表头精度的限制,即使采用级的高灵敏度表头,读测时的分辨力也只能达到半格。再者,模拟式电压表的输入阻抗不高,测高内阻源时精度明显下降。数字电压表作为数字技术的成功应用,发展相当快。数字电压表(Digital VoIt Me-ter,DVM),以其功能齐全、精度高、灵敏度高、显示直观等突出优点深受用户欢迎。特别是以A/D转换器为代表的集成电路为支柱,使DVM向着多功能化、小型化、智能化方向发展。DVM应用单片机控制,组成智能仪表;与计算机接口,组成自动测试系统。目前,DVM多组成多功能式的,因此又称数字多用表(Digital Multi Meter,DMM)。 DVM是将模拟电压变换为数字显示的测量仪器,这就要求将模拟量变成数字量。这实质上是个量化过程,即将连续的无穷多个模拟量用有限个数字表示的过程,完成这种变换的核心部件是A/D转换器,最后用电子计数器计数显示,因此DVM的基本组成是A/D转换器和电子计数器。 DVM最基本功能是测直流电压,考虑到仪器的多功能化,可将其他物理量,如电阻、电容、交流电压、电流等,都变成直流电压,因此,还应有一个测量功能选择变换器,它包含在输入电路中。DVM对直流电压直接测量时的测量精度最高,其他物理量在变换成直流电压时,受功能选择变换器精度的限制,测量精度有所下降。 2、工作原理 系统采用12M晶振产生脉冲做8031的内部时钟信号,通过软件设置单片机的内部定时器T0产生中断信号。利用中断设置单片机的口取反产生脉冲做8031的时钟信号。通过键盘选择八路通道中的一路,将该路电压送入ADC0809相应通道,单片机软件设置ADC0809开始A/D转换,转换结束ADC0809的EOC端口产生高电平,同时将ADC0809的EO端口置为高电平,单片机将转换后结果存到片内RAM。系统调出显示子程序,将保存结果转化为分别保存在片内RAM;系统调出显示子程序,将转化后数据查表,输出到LED显示电路,将相应电压显示出来,程序进入下一个循环。 3、系统结构框图 4、8031的结构及其功能 在本次课题设计中我们选择了8031芯片。8031和8051是最常见的mcs51系列单片机,是inter公司早期的成熟的单片机产品,应用范围涉及到各行各业,下面介绍一下它的引脚图等资料。 <8031管脚图>

电位电压的测定实验报告范文

2020 电位电压的测定实验报告范文 Contract Template

电位电压的测定实验报告范文 前言语料:温馨提醒,报告一般是指适用于下级向上级机关汇报工作,反映情况,答复上级机关的询问。按性质的不同,报告可划分为:综合报告和专题报告;按行文的直接目的不同,可将报告划分为:呈报性报告和呈转性报告。体会指的是接触一件事、一篇文章、或者其他什么东西之后,对你接触的事物产生的一些内心的想法和自己的理解 本文内容如下:【下载该文档后使用Word打开】 篇一:电极电位的测量实验报告 一.实验目的 1.理解电极电位的意义及主要影响因素 2.熟悉甘汞参比电极的性能以及工作原理 3.知道电化学工作站与计算机的搭配使用方法 二.实验原理 电极和溶液界面双电层的电位称为绝对电极电位,它直接反应了电极过程的热力学和动力学特征,但绝对电极电位是无法测量的。在实际研究中,测量电极电位组成的原电池的电动势,而测量电极电位所用的参考对象的电极称为参考电极,如标准氢电极、甘汞电极、银-氯化银电极等,该电池的电动势为: E=φ待测-φ参比 上述电池电动势可以使用高阻抗的电压表或电位差计来计量在该实验中,采用甘汞电极为研究电极,铁氰、化钾/亚铁

氰、化钾为测量电极。在1mol的KCl支持电解质下,分别用10mM 摩尔比1:1和1:2的铁氰、化钾/亚铁氰、化钾溶液在常温(27℃)以及45℃下测量,收集数据,可得到相同温度不同浓度的两条开路电位随时间变化曲线、相同浓度不同温度的两条开路电位随时间变化曲线。可以用电极电势的能斯特方程讨论温度对于电极电势的影响 三.实验器材 电化学工作站;电解池;甘汞电极;玻碳电极;水浴锅 铁氰、化钾/亚铁氰、化钾溶液(摩尔比1:1和1:2)(支持电解质为1MKCl); 砂纸;去离子水 四.实验步骤 1.在玻碳电极上蘸一些去离子水,然后轻轻在细砂纸上打磨至光亮,最后再用去离子水冲洗。电化学工作站的电极也用砂纸轻轻打磨 2.在电解池中加入铁氰、化钾/亚铁氰、化钾溶液至其1/2体积,将玻碳电极和甘汞电极插入电解池中并固定好,将两电极与电化学工作站连接好,绿色头的电极连接工作电极,白色头的电极连接参比电极。 3.点开电化学工作站控制软件,点击setup―技术(technique)―开路电压―时间,设置记录时间为5min,记录数据时间间隔为0.1s,开始进行数据记录,完成后以txt形式保存实验结果。

伏安法测电阻实验报告

科学探究的主要步骤 ※一、提出问题 ※二、猜想与假设 ※三、设计实验 (一)实验原理 (二)实验装置图 (三)实验器材和规格 (三)实验步骤 (四)记录数据和现象的表格 四、进行试验 ※五、分析与论证 ※六、评估 七、交流与合作 ※最后:总结实验注意事项 第一方面:电学主要实验滑动变阻器复习提纲 1、原理——通过改变接入电路中电阻丝的长度,来改变电路中的电阻, 从而改变电路中的电流。 2、构造和铭牌意义一一200 Q:滑动变阻器的最大阻值

3、结构示意图和电路符号 电路符号 4、 变阻特点一一能够连续改变接入电路中的电阻值。 5、 接线方法一一 6、 使用方法一一与被调节电路(用电器)串联 7、 作用一一1、保护电路 2、改变所在电路中的电压分配或电流大小 8、 注意事项一一电流不能超过允许通过的最大电流值 9、 在日常生活中的应用 ——可调亮度的电灯 、可调热度的电锅 、 收音机的音量调节旋钮?…… 实验题目:导体的电阻一定时,通过导体的电流 和导体两 端电压的关系(研究欧姆定 律实验新教材方案) 一、提出问题: 通过前面的学习,同学们已经定性的知道: 加在导体两端的电压越高, 通过导体的电流就会越大;导体的电阻越大,通过导体的电流越小。现在 我们共同来探究:如果知道了一个导体的电阻值和它两端的电压值,能不 能计算出诵过它的电流呢?即诵过导体的电流与导体两端的电压和导体 的电阻有什么定量关系? 二、 猜想与假设: 1、 电阻不变,电压越大,电流越 _______________________________ 。(填大”或小” 结构示蕙图 C D A B C 精殊揍法 D D C D

相关文档
最新文档