雷鸟车尾灯控制器

雷鸟车尾灯控制器
雷鸟车尾灯控制器

《数字逻辑电路》实验报告雷鸟车尾灯控制器

实验设计思路

本设计有三个输入端:HAZ、LEFT和RIGHT,告警状态优先级最高,有告警信号时首先进入告警状态。汽车在空闲状态时,输入信号要求汽车进入的工作状态表如表1所示。另外,还需要一个单独运行的时钟信号,该信号的频率等于这些灯所要求的闪烁频率。

表1·汽车工作状态表

汽车有四个工作状态:空闲状态、左转弯状态、右转弯状态和告警状态。本设计用一个时钟同步Moore状态机来实现,这个状态机有8个状态,每个状态对应的输出如表2所示:

表2·工作状态对应的输出

雷鸟车尾灯控制器状态图

雷鸟车尾灯控制器的Verilog代码

// Quartus II Verilog Template

// 8-State Moore state machine

// A Moore machine's outputs are dependent only on the current state. // The output is written only when the state changes. (State

// transitions are synchronous.)

module Thunderbirds_car_tail_lights

(

input [0:0]iKEY,

input [3:0]iSW,

output reg [5:0] oLEDR

);

// Declare state register

reg [5:0] state;

// Declare states

parameter IDLE = 0, L1 = 1, L2 = 2, L3 = 3, R1 = 4, R2 = 5, R3 = 6, ERR = 7;

// Output depends only on the state

always @ (state)

begin

case (state)

IDLE:

oLEDR = 6'b000000;

L1:

oLEDR = 6'b100000;

L2:

oLEDR = 6'b010000;

L3:

oLEDR = 6'b001000;

R1:

oLEDR = 6'b000001;

R2:

oLEDR = 6'b000010;

R3:

oLEDR = 6'b000100;

ERR:

oLEDR = 6'b111111;

default:

oLEDR = 6'b000000;

endcase

end

// Determine the next state

always @ (posedge iKEY[0] or posedge iSW[3])

begin

if (iSW[3])

state <= IDLE;

else

case (state)

IDLE:

if (!(iSW[2]||iSW[1]||iSW[0]))

state <= IDLE;

else if (iSW[2]||(iSW[1]&&iSW[0]))

state <= ERR;

else if (!iSW[2]&&iSW[1]&&!iSW[0])

state <= L1;

else if (!iSW[2]&&!iSW[1]&&iSW[0])

state <= R1;

L1:

if (iSW[2])

state <= ERR;

else

state <= L2;

L2:

if (iSW[2])

state <= ERR;

else

state <= L3;

L3:

state <= IDLE;

R1:

if (iSW[2])

state <= ERR;

else

state <= R2;

R2:

if (iSW[2])

state <= ERR;

else

state <= R3;

R3:

state <= IDLE;

ERR:

state <= IDLE;

endcase

end

endmodule

三、实验过程(验收实验的过程)

建立仿真波形文件,进行功能仿真

建立矢量波形文件,设定仿真终止时间为500ns,添加需要仿真的输入/输出节点后进行仿真。

iKEY[0]为时钟信号输入,iSW[3]为置零操作,iSW[2:0]分别为HAZ,LEFT,RIGHT, OLEDR[5:3]分别为左边第一个、第二个、第三个灯,OLEDR[0:2]分别为右边第一个、第二个、第三个灯

分配引脚

打开DE2_70_pin_assignments.csv文件,查看iSW和oLEDG和FPGA的引脚连接表,根据引脚连接表配置引脚。

点击Assignment->Pins打开引脚分配面板,在相应引脚的Location栏下双击,在弹出的下拉菜单中选择相应的FPGA引脚,如下图

时序仿真

确认Simulation mode为Timing后进行时序仿真

用RTL Viewer工具查看代码生成的门级电路和状态图

用状态机设计的交通灯控制器

/module traffic (clock, reset, sensor1, sensor2, red1, yellow1, green1, red2, yellow2, green2); input clock, reset, sensor1, sensor2; output red1, yellow1, green1, red2, yellow2, green2; // Define the states. Enumerated type pragma allows Spectrum to chose encoding. parameter /*exemplar enum ee1 */ st0 = 0, st1 = 1, st2 = 2, st3 = 3, st4 = 4, st5 = 5, st6 = 6, st7 = 7; reg [2:0] /* exemplar enum ee1 */ state, nxstate ; reg red1, yellow1, green1, red2, yellow2, green2; // Update the state with the next state on the clock edge // or reset value. always @(posedge clock or posedge reset) begin if (reset) state = st0 ; else state = nxstate; end // // Calculate the next state and the outputs // based on the present state and the inputs // always @(state or sensor1 or sensor2) begin // Default values for the outputs

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

设计题目 交通灯控制器设计

广西科技大学 单片机技术课程设计报告 课程:单片机技术 题目: 学院: 专业: 姓名: 学号: 指导老师: 完成时间: 成绩评定 设计报告得分S1:(百分制) 平时考勤得分S2:(百分制) 问题回答得分S3:(百分制) 总成绩:(S1×0.6+S2×0.2+ S3×0.2) 指导教师签字年月日

摘要 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C52单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。 关键词:交通灯单片机数码管

目录 1.概述 (1) 2系统总体方案及硬件设计 (2) 2.1设计内容 (2) 2.2 设计要求 (2) 2.3 总体设计思想 (2) 2.4 设计参考 (2) 2.5 知识点准备 (2) 3各模块设计 (3) 3.1设计项目简介 (3) 3.2总体设计 (3) 3.3硬件设计 (3) 3.4软件设计 (9) 4软件仿真 (12) 5课程设计体会 (13) 参考文献 (14) 附录一程序清单 (15) 附录二系统原理图 (21)

智能交通灯控制器的设计报告

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

交通灯控制模拟程序

交通灯控制模拟程序设计 ;*************定义数据段*********************************************** data segment title1 db 'Traffic-System' deng db '# # #' sing1 db 'South-North' sing2 db 'East - West' sing3 db 'Red time(scend):' time db 'Time:' sing4 db 'Yellow time(scend):' buff db 4 ;键盘缓冲区 buff1 db 3 dup(?) ;工作计数区 buff2 db 3 dup(?) ;数据保存区 yellow db ? ;黄灯时间 mode db 'Mode:auto(Y/N)?:' ans db ? ting3 db 'Red time(scend):030' ting4 db 'Yellow time(scend):5' ;************************主程序段********************************************** data ends code segment assume cs:code,ds:data,es:data start:mov ax,data mov ds,ax mov es,ax call title2 ;初始化标题 q0: call mode1 ;模式选择自动或手动 call scanf call atuo ; 默认设置参数启动 lea si,ans cmp byte ptr[si],'y' jz q1 cmp byte ptr[si],'n' jnz q0 call ask1 ;设置红灯时间 call scanf0 call ask2 ;设置黄灯时间

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

交通灯控制器

【例2】交通灯控制器 module traffic(CLK,EN,LAMPA,LAMPB,ACOUNT,BCOUNT); output[7:0] ACOUNT,BCOUNT; output[3:0] LAMPA,LAMPB; input CLK,EN; reg[7:0] numa,numb; reg tempa,tempb; reg[2:0] counta,countb; reg[7:0] red,ayellow,agreen,aleft,bred,byellow,bgreen,bleft; reg[3:0] LAMPA,LAMPB; always @(EN) if(!EN) begin ared <=8'd55; ayellow <=8'd5; agreen <=8'd40; aleft <=8'd15; bred <=8'd65; byellow <=8'd5; bleft <=8'd15; bgreen <=8'd30; end assign ACOUNT=numa; assign BCOUNT=numb; always @(posedge CLK) begin if(EN) begin if(!tempa) begin tempa<=1; case(counta) 0: begin numa<=agreen; LAMPA<=2; counta<=1; end 1: begin numa<=ayellow; LAMPA<=4; counta<=2; end 2: begin numa<=aleft; LAMPA<=1; counta<=3; end 3: begin numa<=ayellow; LAMPA<=4; counta<=4; end 4: begin numa<=ared; LAMPA<=8; counta<=0; end default: LAMPA<=8; endcase end else begin if(numa>1) if(numa[3:0]==0) begin numa[3:0]<=4'b1001; numa[7:4]<=numa[7:4]-1; end else numa[3:0]<=numa[3:0]-1;

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

交通灯模拟控制系统设计

目录 引言............................................. 错误!未定义书签。 1、概述 ......................................... 错误!未定义书签。 1.1、交通灯的发展情况 (3) 2、交通灯模拟控制系统控制方案设计 (3) 2.1、技术控制要求 (4) 2.2、总体方案确定 (5) 2.2.1、方案的原理 (5) 2.2.2、方案的特点 (5) 2.2.3、方案的选择依据 (6) 3、交通灯模拟控制系统控制硬件设计 (6) 3.1、输入点和输出点分配 (6) 3.2、硬件选择 (7) 3.3、硬件连接 (8) 4、交通灯模拟控制系统控制软件设计 ........................ 错误!未定义书签。 4.1、程序流程图 (8) 4.2、梯形图 (9) 5、交通灯模拟控制系统仿真调试 ................................ .....错误!未定义书签。 5.1、系统程序仿真调试 (11) 致谢辞: (14) 总结: (15) 参考文献: (16) 附录: (17) 梯形程序图: (17) 指令程序: (19) 电源图: (21) 电气原理图: .......................................................................................................................... ..22

引言 随着我国经济的飞速发展,城市人口越来越多,居民出行次数和机动车拥有量不断增加,城市道路拥挤、车流量不均衡等问题日趋严重。人们经常会为道路拥挤、交通秩序混乱、出行时间过长等城市交通问题倍感苦恼,例如:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等候通过。因此提高城市路网的通行能力、实现道路交通的科学化管理迫在眉睫,如何才能保持城市交通的安全便捷、高效畅通和绿色环保,已成为政府政策规划的一个重点问题。 通过对十字路口交通灯控制系统的设计与制作,使我们进一步巩固和加深了对所学的基础理论、基本技能和专业知识的认识掌握。同时也培养自身综合运用所学过的基础理论、基础知识和基本技能进行分析和解决实际问题的能力,更使我们受到了PLC系统开发的综合训练,从而能够使我们进行PLC系统设计和实施,并且掌握典型自动控制系统的工作原理和设计思路。更重要的是:通过对十字路口交通灯系统的每个环节的实际制作,锻炼了自身的刻苦钻研、勇于探索、实事求是、善于与他人合作的工作作风,这为我们将来的上岗实习做好了充分的准备。 1、概述 近年来,随着大规模集成电路的发展,以微处理器为核心的可编程控制器(PLC)得到了迅猛的发展。早期的PLC主要用于顺序控制,今天的PLC已经能够应用于闭环控制、运动控制以及复杂的分布式控制系统,已逐步发展成为有一类解决自动化问题的有效而便捷的方式。由于PLC自身具有功能完善、结构模块化、开发容易、操作方便、性能稳定、可靠性高、性价比高、等优点,因而在工业生产中具有广阔的应用前景,并被誉为现代工业生产自动化的三大支柱之一。而且随着集成电路的发展和网络时代的到来,PLC必将能够获得更大的发展空间。PLC主体由三部分组成,主要包括中央处理器CPU、存储系统和输入、输出接口。PLC基本结构如图1-1所示:

基于EDA十字路口交通灯控制器设计

十字路口交通灯控制器设计 一、实验目的 1、进一步加强经典状态机的设计 2、学会设计模可变倒计时计数器 二、实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三、实验思路 1、设计一个状态寄存器,控制六盏灯的亮与灭 2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求: 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数 3、使用文本设计底层文件,并生成相应元器件,再使用原理图设计顶层文件 四、实验步骤 1、建立工作库文件夹和编辑设计文件 1)建立一个文件夹保存工程文件; 2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入以下程序 控制6盏灯的模块代码: LIBRARY IEEE;

交通灯控制器的设计

EDA实验报告 一、课程设计题目及要求 题目: 十字路口交通灯 具体要求: 设计一个十字路口得交通灯控制器,能显示十字路口东西、南北两个方向红、黄、绿灯得指示状态。用两组红、黄、绿三种颜色得灯分别作为东西、南北两个方向红、黄、绿等。变化规律为:东西绿灯亮,南北红灯亮——东西黄灯亮,南北红灯亮——东西红灯亮,南北绿灯亮——东西红灯亮,南北黄灯亮——东西绿灯亮,南北红灯亮······,这样循环下去。南北方向每次通行时间为45秒,东西方向每次通行时间为45秒,要求两条交叉道路上得车辆交替运行,时间可设置修改。绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。并要求所有交通灯得状态变化在时钟脉冲上升沿处。 二、实验编程环境 QuartusII 8、0 三、课程设计得详细设计方案 (一)、总体设计方案得描述 1、1、根据交通灯系统设计要求,可以用一个有限状态机来实现这个交通灯控制器。首先根据功能要求,明确两组交通灯得状态,这两组交通灯总共共有四种状态,我们用ST0,ST1,ST2,ST3 来表示: St0表示东西路绿灯亮,南北路红灯亮; St1表示东西路黄灯亮,南北路红灯亮; St2表示东西路红灯亮,南北路绿灯亮; St3表示东西路红灯亮,南北路黄灯亮; 1、2、根据上述四种状态描述列出得状态转换表 1、3、根据状态转换表得到交通灯控制器得状态转移图如图所示。

交通灯控制器得状态转移图 (二)各个模块设计 2、1、控制器模块 控制器模块示意图 其中,clk 为时钟信号,时钟上升沿有效。hold 为紧急制动信号,低电平有效。ared,agreen,ayellow 分别表示东西方向得红灯,黄灯,绿灯显示信号,高电平有效。 bred,bgreen,byellow 分别表示南北方向得红灯,黄灯,绿灯显示信号,高电平有效。 用于控制红绿黄灯得亮暗情况。 2、2、45秒倒计时计数器模块 45秒倒计时计数器模块示意图 其中,CLK 为时钟信号,时钟上升沿有效。EN 为使能端,高电平有效。CR 为紧急制动信号低电平有效。QL{3、、0}就是计数低位。QH{3、、0}就是计数高位。 用于45秒得倒计时计数。 2、3、7位译码器模块 7位译码器模块示意图 其中dat{3、、0}为要译码得信号。a,b,c,d,e,f,g 为译码后得信号。 用于将45秒倒计时计数得信号译码成数码管可以识别得信号。 2、4、50MHZ 分频器模块 50MHZ 分频器模块示意图 其中clk 为50MHZ 时钟信号,时钟上升沿有效。输出clk_out 为1HZ 时钟信号,时钟上升CLK EN CR QL[3、、0] QH[3、、0] OC m45 inst2

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用Multisim软 件仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题、解决问题以及团队协作能力。巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题,巩固课程中所学的理论知识和实验技能。 二、设计要求 1、设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 5、假定+5V电源给定。 三、总体概要设计 设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都为45s,每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的总体设计方案如下图所示所示。90进制加法计数器作为该系统的主控制电路,控制东西方向和南北方向交通的及LED显示,秒信号发生器产生整个定时系统的时间脉冲,通过加法计数器对秒脉冲加计数,当到达固定时刻,控制LED显示的减法计数器进行数制转换,交通灯做出相应的变化。 交通灯控制系统的总体设计方案 电

电路流程图 四、局部细节设计 一、秒脉冲电路部分

二、主控电路(89进制加法计数器)部分 三、东西方向减法计数器及LED显示部分

模拟交通灯控制代码

//功能:模拟交通灯控制C51参考程序 具体实现功能: 1、正常时信号灯控制: (1)初始状态东西南北全为红灯(5s); (2)东西绿灯、南北红灯(10s); (3)东西绿灯闪烁3次,南北红灯; (4)东西黄灯、南北红灯(2s); (5)东西红灯、南北绿灯(10s); (6)东西红灯,南北绿灯闪烁3次; (7)东西红灯,南北黄灯(2s); (8)转(2)。 2、特殊情况下,东西方向绿灯放行(5s) 3、紧急情况下,如有急救车通过时,东西和南北两个方向的交通灯全为红灯(10s),急救车通过后恢复正常信号。紧急情况优先级高于特殊情况。 4、其他提高部分:实现智能交通灯控制(略,自行考虑并编写) (1)各路口红绿灯点亮时间可调整; (2)数码管显示各路口的点亮时间并作每秒减“1”操作; (3)左转灯设计。 (4)自己设想一些特殊情况并加以处理。 分析: 按键S1、S2模拟紧急情况和特殊情况的发生,当S1、S2为高电平(不按按键)时,表示正常情况。当S1为低电平(按下按键)时,表示紧急情况,将S1信号接至INT0脚(P3.2)即可实现外部中断0的中断申请。当S2为低电平(按下按键)时,表示特殊情况,将S2信号接至INT1脚(P3.3)即可实现外部中断1的中断申请。

程序设计: 由上述流程图,程序需要多个不同延时时间,2s、5s、10s等,假定信号灯闪烁时亮灭时间各为0.5s,则可将0.5s延时作为基本的延时时间。 #include unsigned char t0, t1; //定义全局变量,用来保存延时时间循环次数 //函数名:delay0_5s1 //函数功能:用T1的方式1编制0.5秒延时程序,假定系统采用12MHz晶振,定 // 时器1、工作方式1定时50ms,再循环10次即可定时到0.5秒 //形式参数:无 //返回值:无 void delay0_5s1( ) { for(t0=0;t0<0x0a;t0++) // 采用全局变量t0作为循环控制变量 { TH1=0x3c; // 设置定时器初值 TL1=0xb0; TR1=1; // 启动T1 while(!TF1); // 查询计数是否溢出,即定时50ms时间到,TF1=1 TF1=0; // 50ms定时时间到,将定时器溢出标志位TF1清零 } } //函数名:delay_t1 //函数功能:实现0~127.5秒的延时 //形式参数:unsigned char t;

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯控制模拟程序

交通灯控制模拟程序设计 data segment title1 db 'Traffic-System' deng db '# # #' sing1 db 'South-North' sing2 db 'East - West' sing3 db 'Red time(scend):' time db 'Time:' sing4 db 'Yellow time(scend):' buff db 4 ;键盘缓冲区 buff1 db 3 dup(?) ;工作计数区 buff2 db 3 dup(?) ; 数据保存区 yellow db ? ; 黄灯时间 mode db 'Mode:auto(Y/N)?:' ans db ? ting3 db 'Red time(scend):030' ting4 db 'Yellow time(scend):5' ?************************ J data ends code segment assume cs:code,ds:data,es:data start:mov ax,data mov ds,ax mov es,ax call title2 ; 初始化标题 q0: call mode1 ; 模式选择 自动或手动 call scanf call atuo ; 默认设置参数启动 lea si,ans cmp byte ptr[si],'y' jz q1 cmp byte ptr[si],'n' jnz q0 call ask1 ;设置红灯时间 call scanf0 call ask2 ;设置黄灯时间 ?************* 定义数据段 *********************************************** 主程序段 **********************************************

模拟交通灯控制系统设计

贵州师范学院 电子课程设计报告书 班级11级1班 学生姓名王旭东 学号11030540094 专业电子信息科学与技术 院系物电学院 2014年6 月20 日

摘要 随着城市人口的快速增长和机动车数量的大量增加,城市交通灯作为缓解交通压力、提高道路通行效率的重要手段,其作用越来越重要。因此,如何改进交通灯的设计,使其更好的适应城市交通的发展也成为一个重要课题。红绿灯控制系统是利用8253A定时/计数器芯片的定时功能,向8259A中断控制器芯片发出定时中断请求,驱动8255A可编程并行接口芯片改变路口的LED灯的亮灭。系统采用DVCC-598JH+微机原理与接口技术实验箱作为测试与运行的平台,8086汇编语言作为编程语言,并用MASM5.0作为汇编语言开发环境。 关键词:红绿灯控制系统 8253A定时器 8259A中断控制器 8255A可编程并行接口 DVCC-598JH+ 目录 摘要 (201) 1.十字路口基本情况分析 (201) 2.交通灯状态转换分析.............................. III 3.紧急通行情况分析 (5) 4.硬件功能分析 (6) 4.1 8253A定时/计数器芯片 (6) 4.2 8259A中断控制器芯片 (7) 4.3 8255A可编程并行接口芯片 (9) 5.系统设计 (10) 5.1硬件设计 (10)

5.1.1 电路分析 (10) 5.1.2 电路连接设计 (10) 5.2软件设计 (12) 5.2.1 程序总体设计 (12) 5.2.2 程序流程设计 (13) 5.2.3 重要代码分析................................ XII 6.系统实现...................................... XVII 6.1 软件开发与运行环境 .. (10) 6.2 系统硬件环境 (20) 6.3 系统运行步骤 (20) 6.4 系统测试结果 (20) 参考文献 (21) 心得体会 (22) 1 十字路口基本情况分析 设有一个十字路口,1、3为东西方向,2、4为南北方向,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车;延时一段时间后,1、3路口的绿灯熄灭,而1、3路口的黄灯开始闪烁,闪烁若干次以后,1、3 路口红灯亮,而同时2、4路口的绿灯亮,2、4路口方向通车;延时一段时间后,2、4 路口的绿灯熄灭,而黄灯开始闪烁,闪烁若干次以后,再切换到1、3路口方向,之后重复上述过程。

基于Verilog HDL的交通灯控制器设计

目录 第一章设计原理 (1) 1.1设计要求 (1) 1.2设计思路和原理 (1) 1.3实现方法 (1) 第二章Verilog HDL程序设计 (2) 2.1整体设计 (2) 2.2 具体设计 (3) 第三章仿真与硬件调试 (7) 3.1 波形仿真 (7) 3.2 硬件调试 (9) 第四章设计总结 (10) 程序清单 (11) 参考资料 (15)

交通灯控制器设计 第一章 设计原理 1.1设计要求 设计一个交通控制器,用LED 显示灯表示交通状态,并以7段数码显示器显示当前状态剩余秒数 主干道绿灯亮时,支干道红灯亮;反之亦然,二者交替允许通行,主干道每次放行35s ,支干道每次放行25s 。每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,黄灯的时间为5s 。能进行特殊状态显示,特殊状态时东西、南北路口均显示红灯状态。用LED 灯显示倒计时,并且能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯亮。能实现特殊状态的功能显示, 1.2设计思路和原理 本次设计是针对十字路口,进行南北和东西直行情况下交通灯控制。设定东西方向为主干道方向,根据交通灯的亮的规则,在初始状态下四个方向的都为红灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续35S 后,主干道和支干道上的黄灯都亮启,持续5S 后,主干道上红灯亮启,支干道上绿灯亮启持续25S ,之后主干道和支干道上的黄灯都亮启5s ,一个循环完成。循环往复的直行这个过程。其过程如下图所示: 0s 30s 25s 主干道方向 支干道方向 图1.交通灯点亮时间控制说明 1.3实现方法 本次采用文本编辑法,即利用Verilog HDL 语言描述交通控制器,通过状态机计数法,实现设计所要求的交通灯控制及时间显示。设计中用两组红黄绿LED 模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号提供。

交通灯控制器

电子线路课程设计(报告)题目交通灯控制器 院系专业 班级学号 学生姓名 指导教师 日期

目录 一题目要求与方案论证 (2) 1.1(设计题题目)交通灯控制器 (2) 1.1.1题目要求 (2) 1.1.2 方案论证 (2) 1.2(实训题题目)波形发生器与计数器 (4) 1.2.1题目要求 (4) 1.2.2方案论证 (4) 二电子线路设计与实现 (7) 2.1交通灯控制器电路设计 (7) 三结果与分析 (8) 3.1 (设计题的结果描述与分析)交通灯控制器 (8) 3.2 波形发生与计数器的实现 (8) 四总结与体会 (10) 参考文献(撰写格式如下) (10) 附录 (11)

一题目要求与方案论证 1.1(设计题题目)交通灯控制器 1.1.1题目要求 要求控制十字路口东西、南北向街道,当东西向绿灯亮6s,同时南北向红灯亮6s;接着东西向黄灯亮2s,南北向红灯继续亮2s;接着东西向红灯亮3s,南北向绿灯亮3s;接着东西向红灯亮1s,南北向黄灯亮1s。 主要芯片:同步计数器(74LS163)、红黄绿发光二极管,其他门电路、元件任选。 用已经掌握的multisim8的相关知识,在multisim8的运行环境下设计并仿真一个交通灯控制器的实验,要求实现的功 能如下: 1.1.2 方案论证 (主要描述设计的方案、原理,比如系统框图,各种芯片功能,介绍,整体电路的设计思想) (如需框图说明,则画出系统框图如图所示:) 系统流程图:

(芯片介绍,则如下:) 74LS163的外引线排列图和时序波形图 74LS163功能表

计数器选用集成电路74LS163进行设计较简便。74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。74LS163的外引线排列图和时序波形图如图4所示,其功能表如表3所示。图中,是低电平有效的同步清零输入端,是低电平有效才同步并行置数控制端,CTp、CTT是计数控制端,CO是进位输出端,D0~D3是并行数据输入端,Q0~Q 3是数据输出端。由两片74LS163级联组成的定时器电路如图5所示。电路的工作原理请自行分析。 1.2(实训题题目)波形发生器与计数器 1.2.1题目要求 学习元器件参数的识别及使用注意事项,清点元件个数。 按所给电路图,利用所给元器件,在面包板上连接电路并用示波器测试,记录产生的波形频率范围等参数。 应用Multisim软件进行仿真实验,记录结果。 1.2.2方案论证 1、波形发生器电路如下图:

PLC 十字路口交通灯控制模拟

PLC实验报告 实验三十字路口交通灯控制模拟 一、实验目的 1、掌握可编程控制器的工作原理。 2、通过动手接线,提高学生的实际动手能力以及加强对PLC基本结构的了解。 3、通过实验,,加强学生对PLC逻辑顺序编程的理解,使学生能够熟练应用PLC 定时器,以及PLC的基本辅助继电器 二、实验内容 十字路口南北方向和东西方向均设有红、黄、绿三只信号灯,交通灯启动时,6 只信号灯依一定的时序循环往复工作。交通信号灯的时序图如下图所示。

图1 整个交通灯系统至少要设置有启动键,停止键以及复位键。启动键启动系统按照上面时序开始运行;停止键停止系统,6个信号灯全部熄灭;复位键复位系统,此时无论系统处于什么状态,复位后系统重新开始运行。 本实验是一个简单时序的顺序控制实验,关键是要将交通灯状态变化的时间点标记出来。分析时序图,找出交通灯状态发生变化的每个时间点,并使PLC 做出相应的动作改变交通灯的状态。 三.实验I/O端口分配 1.输入端口 2.输出端口

四.硬件接线图 24V PLC 南北红灯南北绿灯南北黄灯东西红灯东西绿灯东西黄灯 五.实验梯型图及程序简介

六.系统使用说明书 1.按下启动键SB1,常开接点X000闭合,继电器M0闭合并进行自锁,定时器T0 T1 开始计时,首先东西方向红灯Y27亮,南北方向绿灯Y6亮,南北方向通行。 2.定时器T1计满13秒时,南北方向黄灯Y6开始闪亮,黄灯闪亮时间为2秒 3.定时器T3和T4形成一个分频电路,周期为1秒,占空比为50%,用这样一个矩形波去控制黄灯的闪亮 4.T0 计满15秒时,南北方向红灯Y17亮,东西方向绿灯Y4亮,其他灯灭,东西方向通行。 5.定时器T1计满13秒时,东西方向黄灯Y5开始闪亮,黄灯闪亮时间为2秒,闪亮原理同上。然后循环重复上述过程。 6.当停止按钮SB2,继电器M0失电,此时所有的输出灯都将熄灭,程序停止运行。 7.无论何时当复位按钮SB3按下时,定时器T0 T1将复位,程序重头开始运行。 七.实验小结 大二做过智能交通灯的程序设计,也是用的梯形图语言,然而到现在却大抵忘却了。 通过老师及书本上一些知识的介绍,我们组又重新了解了PLC 的相关知识及梯形图语言,经过一番理解与全局的设计,但是在实验

相关文档
最新文档