4组合逻辑电路基本概念复习题

4组合逻辑电路基本概念复习题
4组合逻辑电路基本概念复习题

组合逻辑电路基本概念复习题

填空

1.消除或减弱组合电路中的竞争冒险,常用的方法是发现并消掉互补变量,增加__________,并在输出端并联 。冗余项、电容器

2.要扩展得到1个16-4线编码器,需要 片74LS148。2

3.在组合逻辑电路中,当一个输入信号经过多条路径传递后到达某一逻辑门的输入端时,会有时间先后,这一现象称为_________,由此而产生输出干扰脉冲的现象称为 。 竞争、冒险

4.所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于电路各 的组合,而与电路的 无关。输入状态、原来的状态

5.组合逻辑电路由逻辑门电路组成,不包含任何 ,没有 能力。

记忆元件、记忆

6.常见的中规模组合逻辑器件有 和 等。

编码器、译码器、数据选择器、数值比较器、加法器任选二个。

7.加法器是一种最基本的算术运算电路,其中的半加器是只考虑本位两个二进制数进行相加不考虑 的加法器。低位向本位的进位

8.全半加器既要考虑本位两个二进制数进行相加,还要考虑 的加法器。

低位向本位的进位

9.用全加器组成多位二进制数加法器时,加法器的进位方式通常有、 、 2种。 串行进位、并行进位

10.基本译码器电路除了完成译码功能外,还能实现 和 功能。

逻辑函数发生、多路分配

11.多路分配器可以直接用 来实现。译码器

12.与4位串行进位加法器比较,使用超前进位全加器的目的是 。

提高运算速度

13.在分析门电路组成的组合逻辑电路时,一般需要先根据 写出逻辑表达式。

逻辑电路图

14.数据选择器的功能相当于多个输入的数据数据开关,是指经过选择,把 通道的数据传送到 的公共数据通道上去。多个、唯一

15.数据分配器的功能相当于一个多输出的数据开关,是将 数据源来的数据根据需要,送到 不同的通道上去。经过选择,把通道的数据传送到的公共数据通道上去。

一个、多个

16.加法器的超前进位级联方式,高位的运算不必等低位运算的结果,故提高了 ,但结构比较 。运算速度、复杂

17.加法器串行进位的级联方式由于结构 ,主要用在 数字设备中。

简单、低速

选择

1.比较两个一位二进制数A 和B ,当B A >时输出1=F ,则F 的表达式是(C )。

A 、A

B F =B 、B A F =

C 、B A F =

D 、B A F =

2.设计加法器的超前进位是为了(B )。

A .电路简单

B .每一级运算不需等待进位

C .连接方便

D .使进位运算由低位到高位逐位进行

3.编码器用5位二进制代码可对()个信号进行编码。

A.64B.32 C.128 D.16

4.数据选择器不能够做(D)使用。

A.函数发生器B.多路数据开关

C.多路数据选择器D.数据比较器

5、不属于组合逻辑电路的器件是(D)。

A、编码器

B、译码器

C、数据选择器

D、计数器

6.分析组合逻辑电路时,不需要进行(D)。

A.写出输出函数表达式B.判断逻辑功能

C.列真值表D.画逻辑电路图

7.一块数据选择器有三个选择输入(地址输入)端,则它的数据输入端有(C)个。

A、3

B、6

C、8

D、1

10.一片四位二进制译码器,它的输出函数最多可以有(D)个。

A、1

B、8

C、10

D、16

12.(B)不是组合逻辑电路。

A.加法器B.触发器

C.数据选择器D.译码器

13、数值比较器对A、B两数进行比较时,首先进行比较的是A、B的(A)。

A、最高位

B、最低位

C、所有位

D、低位级联输入

15.16位输入的二进制编码器,其输出端有( C)位。

A. 256

B. 128

C. 4

D. 3

16、一位全加器除完成半加器的功能外,还要考虑(B)问题。

A、向高位进位

B、低位向本位的进位

C、向高位借位

D、低位向本位借位

18.要比较二进制数A和B的大小,比较器需要(C)

A.从低位到高位逐位比较B.从低位到高位同步比较

C.从高位到低位逐位比较D.所有位同时比较

19、一位半加器与全加器功能相比,不需考虑(B)问题。

A、向高位进位

B、低位向本位的进位

C、向高位借位

D、低位向本位借位

21.编码器用7位二进制代码可对(B)个信号进行编码。

A.64B.128

C.32D.256

22、可以用作数据分配器的是(B)。

A、编码器

B、译码器

C、数据选择器

D、数据比较器

23、组合逻辑电路中,正确的描述是(A)。

A、没有记忆元件

B、包含记忆元件

C、存在有反馈回路

D、双向传输

26、超前进位加法器可以(D)。

A、精确计算

B、延长计算时间

C、提高计算容量

D、提高运算速度

27、将两片8线-3线编码器进行级联,可以构成(B)编码器。

A、8线-3线

B、16线-4线

C、16线-3线

D、8线-4线

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

第4章 组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 B A C & & & & D L B A =1 =1 =1 F F A B & & & & & F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

四组合逻辑电路的设计

实验四 组合逻辑电路的设计(二) 一、实验目的 1. 熟悉各种常用MSI 组合逻辑电路的功能与使用方法; 2. 掌握多片MSI 组合逻辑电路的级联、功能扩展; 3. 学会使用MSI 逻辑器件设计组合电路; 4. 进一步培养查找和排除数字电路常见故障的能力。 二、实验器件 1. 74LS151 八选一数据选择器 2. 74LS283 四位二进制全加器 三、实验原理 见实验三。 四、设计举例 例:使用全加器实现四位二进制相减。 原理:减去某个二进制数就是加上该数的补码(即反码加“1”),所以二进制数A 和B 相加,先将B 变为反码,然后与数A 相加,并令C1=1,即可。电路如图4—1示: A 0A 2A 3 A 1 被减数 减数 B 0 B 1B 2B 3 V CC C 4 C 1 C 0∑ ∑1 ∑2∑3 ∑0图 4-1 例:设计一四变量输入组合逻辑电路。当四个输入中有奇数个高电平“1”时 输出高电平“1”,否则输出低电平“0”。 原理:设输入四变量为DCBA ,输出为Y ,其真值表入图4—2(a )所示,输出函数Y 为: Y B C D A B C D 1D 2D 3D 4D 5D 6D 7 D 0??? ? ?? A (b)

用八选一数据选择器实现四变量逻辑函数时,以其中3个变量做地址,另外一个变量做数据。选DCB三变量作为地址,A为数据,画出电路图如图4—2(b):五、实验内容 1.用八选一数据选择器74LS151设计一个8421BCD非法码检测电路,当输入为非法码组时,输出为1,否则为零。 2.用全加器实现2位二进制数相乘。 六、实验报告要求 1.画出各实验步骤的实验电路逻辑图,并分析实验结果。 2.总结MSI器件的功能及使用方法。

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数电第二章习题教学内容

第二章 一、选择题 1.下列表达式中不存在竞争冒险的有 C D 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为B 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 D 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 A C D 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻 辑表达式为Y = A 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 E 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 C 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 A B 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 C 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 A B C 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D C.A ST =1,B ST =0,C ST =D D. A ST =D ,B ST =0,C ST =0 13.以下电路中,加以适当辅助门电路, A B 适于实现单输出组合逻辑电路。

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

数字电子技术第4章组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F⊕ = + = + = 该电路实现异或门的功能 分析图所示电路,写出输出函数F。 习题图 解:[]B A B B B A F⊕ = ⊕ ⊕ ⊕ =) ( 已知图示电路及输入A、B的波形,试画出相应的输出波形F,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F⊕ = ? = ? ? ? = ? ? ? = 由与非门构成的某表决电路如图所示。其中A、B、C、D表示4个人,L=1时表示决议通过。 (1)试分析电路,说明决议通过的情况有几种。 (2)分析A、B、C、D四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L+ + = ? ? = B A C& & & & D L B A= 1 == 1 F F A B F B A

(2) L 0 0010111 (3)分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) L

试分析图所示电路的逻辑功能。 习题图 解:(1)ABC C B A F )(++= (2) F 01111110 F

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: F 1 0010010 C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

实验四 组合逻辑电路

实验四组合逻辑电路 一、实验目的 1.学会组合逻辑电路的实验分析及其设计方法。 2.验证半加器、全加器的逻辑功能。 二、实验原理 按照逻辑电路的不同特点,常把数字电路分成两大类:一类叫组合逻辑电路,一类叫时序逻辑电路。组合逻辑电路在任一时刻的输出状态只决定于该时刻各输入状态的组合,而与电路的原状态无关。通常组合逻辑电路由门电路组合而成。 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计是否合理。分析组合逻辑电路时首先根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式;然后利用公式法或卡诺图法化简逻辑函数表达式;最后列真值表,确定其逻辑功能。 设计组合逻辑电路的任务是根据已知逻辑问题,画出满足任务要求的逻辑电路图。组合逻辑电路的设计,通常以电路简单,器件最少为目标。首先应分析实际问题所要求的逻辑功能,确定输入量和输出量,然后列出符合输入、输出关系的真值表,根据真值表写出逻辑函数的表达式并化简成最简式,按照最简逻辑函数的表达式画出逻辑电路图。 三、实验仪器及设备 1、数字逻辑实验箱1台 2、元器件:74LS20×4(四输入端2与非门),74LS00×1(二输入端4与非门), 74LS08×1,74LS32×1,导线若干 四、实验内容 1.测试图1电路的逻辑功能 按图1接线。按表1要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。

图1 图2 2.测试用异或门、非门和与或非门组成的电路的逻辑功能 按图2接线。按表2要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。 3.根据要求自行设计逻辑电路,要求画出逻辑电路图,列真值表并验证其逻辑功能。 (1)有一个车间,有红、黄两故障指示灯,用来表示三台设备的工作情况。当有一台设备出现故障时,红灯亮;若三台设备都出现故障时,红灯、黄灯都亮。试用与非门设计一个控制灯亮的逻辑电路。 分析提示:设Y 为红灯,G 为黄灯,以1代表灯亮,0代表灯不亮,其逻辑表达式:Y=BC AC AB G=ABC C B A C B A C B A 根据公式得到参考逻辑电路图3。 根据分析提示并结合参考电路图,设计出自已的电路,在实验箱上将电路连接完成。自拟表格记录之。

实验三组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。

第四章 组合逻辑电路

第三章 组合逻辑电路 一. 填空题 1. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=100时,输出 01234567Y Y Y Y Y Y Y Y 应为 11101111 。 2. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=101时,输出 01234567Y Y Y Y Y Y Y Y 应为 1101111 。 3. 数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路 和 时序逻辑电路。 。 4. 16选一数据选择器,其地址输入端有 16 个 5. 8选一数据选择器有___8______条地址控制线。 二.选择题 1. 在下列逻辑电路中,不是组合逻辑电路的是 D A.译码器 B.编码器 C.全加器 D.寄存器 2. 三十二路数据选择器,其地址输入端有 C 个 A .16 B .2 C .5 D . 8 3. 数据选择器是具有 A 通道的器件 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4. 欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是(B ) A. 5 B.6 C. 10 D . 53 5. 已知A 、B 为逻辑门的输入端,F 为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 D 。 A B F 图1 A.与非门 B. 与门 C. 或非门 D . 或门 三.简答和计算题

1. 将逻辑函数F AB AC ABC =++转化为与非-与非表达式,并画出只由 与非门实现的逻辑电路图。 2. 将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3. 用8选1数据选择器74HC151实现函数F AC ABC ABC ABC =+++。 4. 用8选1数据选择器74HC151实现逻辑函数F AC AB ABC =++。 5. 用8选1数据选择器实现函数F=AC+ABC+ABC。

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

第三章_组合逻辑电路

第3章组合逻辑电路 德州学院计算机系:刘树海 3-1概述 组合逻辑电路的特点 ?从功能上 ?从电路结构上 逻辑功能的描述 组合逻辑电路的分析方法 组合逻辑电路的设计方法 一、逻辑抽象 ?分析因果关系,确定输入/输出变量 ?定义逻辑状态的含意(赋值) ?列出真值表 二、写出函数式 三、选定器件类型 四、根据所选器件:对逻辑式化简(用门) 变换(用M S I) 或进行相应的描述(P L D)五、画出逻辑电路图,或下载到P L D 六、工艺设计 设计举例: ?设计一个监视交通信号灯状态的逻辑电路 设计举例: 1.抽象 ?输入变量:红(R)、黄(A)、绿(G) 信号(Z)

2. 写出逻辑表达式 设计举例: 3. 选用小规模S S I 器件 4. 化简 5. 画出逻辑图 3-2若干常用组合逻辑电路 ? 加法器 ? 数值比较器 ? 编码器 ? 译码器 ? 数据选择器 ? 数据分配器 加法器 一、1位半加器 RAG RAG G RA AG R G A R Z ++++=''''''

逻辑图: S i A i B i C i i i i i i i i i i i i A B S +=i i i B A C =B A ⊕=2. 全加器(F ull A dder ) 两个 1 位二进制数相加,考虑低位进位。 A i + B i + C i -1 ( 低位进位 ) = S i ( 和 ) → C i ( 向高位进位 ) 1 0 1 --- A 1 1 1 0 --- B + --- 低位进位 1 0 0 1 0 1 1 1 1 1 -1-1-1- i i i i i i i i i i i i i C B A C B A C B A C B A S +++=1 111----+++=i i i i i i i i i i i i i C B A C B A C B A C B A C --- S 高位进位← 0

实验三组合逻辑电路multisim仿真设计

实验四组合逻辑电路Multisim仿真设计 一、实验目的 1、掌握组合逻辑电路的特点 2、利用逻辑转换仪对组合逻辑电路进行分析与设计 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻的输入信号的取值组合。 根据电路确定功能,是分析组合逻辑电路的过程,其步骤如下:组合逻辑电路→推导→逻辑表达式→化简→最简表达式→列表→真值表→分析→确定电路功能。 根据要求求解电路,是设计组合逻辑电路的过程,其步骤如下:问题提出→分析→真值表→归纳→逻辑表达式→化简变换→逻辑图。 逻辑转换仪是Multisim中常用的数字逻辑电路分析和设计仪器。 三、仿真例题 1、利用逻辑转换仪对已知逻辑电路进行分析 电路图如下: 图待分析逻辑电路 分析结果如下:

图 逻辑分析仪输出结果 四、思考题 1、设计一个四人表决电路,即如果3人或3人以上同意,则通过;否则被否决。用与非门实现。 解:用ABCD 分别表示四人的表决结果,1表示同意,0表示不同意。则利用逻辑分析仪可以输入如下真值表,并得到如下表达式: L=ACD+ABD+ABC+BCD 图 逻辑分析仪得到的真值表和表达式 得到如下电路图: A B C 14 11 13 1 12 3 210 9 68754图 利用逻辑分析仪得到的与非门设计的表决电路 2、利用逻辑转换仪对下图所示电路进行分析。

XLC1 A B U1A 74LS04D U1B 74LS04D U1C 74LS04D U2A 74LS00D U2B 74LS00D 2 U3A 74LS10D U3B 74LS10D 1 4 3 6 5 7 8 9 10 图 待分析的逻辑电路 解:通过逻辑分析仪可以得到如下结果: 图 逻辑分析仪输出结果 得到逻辑表达式为:L AC BC ABC =++

实验4 组合逻辑电路实验

实验4 组合逻辑电路实验 1.设计一个完整的组合逻辑电路,并用MAXPLUS进行仿真,将结果下载到实验箱中,测试电路的正确性。 要求:设计一个6输入的表决电路,其中1路输入为主裁,优先级最高,其余5路的估先级相同,用LED显示各路输入的状态(同意的亮灯,反对的灭灯),同时用数码管显示同意的人数。 2.应包含VHDL源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析 一、实验分析:6输入表决电路,需要六个输入,并且设置一个主裁位,优先级最高,当他同意,为高电平时,其他五个人,有三个以上同意才通过,否则不通过。 二、程序如下: library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity a6_1is port ( a: in STD_LOGIC_VECTOR (6 downto 0); c: out STD_LOGIC ); end a6_1; architecture dsf_arch of a6_1is

signal tmp1: integer ; begin process (a) variable tmp: integer ; begin tmp := 0; for i in 0 to 6 loop if a(i) ='1' then tmp := tmp +1 ; end if; end loop; tmp1<=tmp; end process; c<='1' when tmp1=3 and a(0)='1' else '1' when tmp1=4and a(0)='1' else '1' when tmp1=5 and a(0)='1' else '1' when tmp1=6 and a(0)='1' else '0' ; end dsf_arch;

第4章 组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0

时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 真值表: 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。 图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 、 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题] 用与非门设 1,输

相关文档
最新文档