电阻电容电感rlc测量仪

电阻电容电感rlc测量仪
电阻电容电感rlc测量仪

第六届电子设计大赛决赛设计与总结报告

目录

摘要 (3)

关键词 (4)

1 引言 (4)

2 方案设计 (4)

2.1 设计思路 (4)

2.2 方案的论证与比较 (5)

2.2.1 电阻测量电路的选择 (5)

2.2.3 电感测量电路的选择 (7)

2.2.4 多路选择开关电路 (7)

2.2.5 控制部分 (8)

3 系统设计 (8)

3.1 总体设计 (8)

3.2系统硬件电路设计 (9)

3.2.1 测Rx的振荡电路 (9)

3.2.2 测Cx的振荡电路 (10)

3.2.3 Lx的电容三点式振荡电路 (10)

3.2.4 通道选择和控制模块 (11)

4 系统测试 (12)

4.1 硬件测试 (12)

4.2.3 电感的测量 (13)

5.总结 (14)

参考文献 (15)

电阻、电容、电感测量仪的设计

摘要

本设计以89S52单片机控制为核心,利用LM555定时器组成RC多谐振荡电路测量电阻、电感和电容的三点式振荡电路测量电感以及利用单片机CPU 的高速强大的计算及可编程功能,采用软件查询的方法在程序开始时分别对各个信号通道进行查询通过检测到的不同

情况来区分实现具有电阻、电容、电容自动辨识、自动切换以及相应器件量程的自动切换等特色,高精度智能化的测量,并对测量结果进行显示。

关键词

单片机LM555多谐振荡电路电容的三点式振荡电路CD4052 74LS197

1 引言

测量电阻、电容、电感的方法各有不同,在过去电容的测量系统中,几乎都是根据普的电路原理,用一些常规的方法来测量的,它们各有其优缺点,比如把它作为阻抗的虚部来测量的,还有充电法,比例法等等,一般都存在计算复杂、精度不高、不易实现自动测量而且很难实现智能化。而本文要介绍的电阻、电容测量和前面的测量电路不同,采用“脉冲计数法”,电感测量采用电容的三点式振荡电路,它们克服了以上的一些缺点,充分利用了单片机CPU 的高速强大的计算及可编程功能,实现了电阻、电容、电容测量的精度和部分智能化等特点,而

且操作方便。

2 方案设计

2.1 设计思路

本设计中把R、L、C转换成频率信号f,转换的原理分别是RC振荡电路和LC电容三

点式振荡电路,单片机根据所选通道,向模拟开关送两路地址信号,取得振荡频率,作为单片机的时钟源,通过计数则可以计算出被测频率,再通过该频率计算出各个参数。然后根据

所测频率判断是否转换量程,或者是把数据处理后,把R、L、C的值送数码管显示相应的参数值,利用编程实现量程自动转换。

因此,本系统包括控制器部分、测量部分(RC震荡电路、电容的三点式振荡电路、分频电路)、通道选择部分。如下图1所示:

图1 系统框图

不管电阻、电容、电感中哪一个器件接入两引脚端,都会产生一定的频率f ,即元件集中参数R 、L 、C 转换成频率信号f,然后用单片机计数后在运算求出R 、L 、C 的值,并送显示,转换的原理分别是RC 振荡和LC 三点式振荡。其实,这种转换就是把模拟量进拟地转化为数字量,频率f 是单片机很容易处理的数字量,这种数字化处理一方面便于使仪表实现智能化,另一方面也避免了由指针读数引起的误差。

2.2 方案的论证与比较

2.2.1 电阻测量电路的选择

方案一:电阻R 的测试方法最多。最基本的就是根据R 的定义式来测量。分别用电流表和电压表测出通过电阻的电流和通过电阻的电压,根据公式/R U I 求得电阻。这种方法要测出两个模拟量,不易实现自动化。而指针式万用表欧姆档是把被测电阻与电流一一对应,由此就可以读出被测电阻的阻值,这种测量方法的精度变化大,若需要较高的精度,必须要较多的量程,电路复杂。

方案二:RC 振荡电路法。LM555和电阻、电容构成充放电电路,并由两个比较器来检测电容器上的电压,以确定高低电平和放电开关管的通断。图中,LM555和Ra 、Rb 、C

构成多谐振荡器,引脚2和6直接相连。电路没有稳态,仅存在两个暂稳态,电路也不需要外加触发信号,利用电源通过Ra 、Rb 向C 充电,以及C 通过Rb 放电,使电路产生振荡。电容C 在(1/3)VCC 与(2/3)VCC 之间充放电。

本次竞赛的目的是让我们自己做个能进行相关器件的测量仪器,是探求仪表内部黑匣子的电路原理,故第一种组成多谐振荡电路输出的频率很稳定,因此方案二是可行的,我们选择方案二方案肯定是不行的,而用LM555定时器。 2.2.2 电容测量电路的选择

方案一:电桥法。测量电器元件L 、C 的最典型的方法是电桥法(如图1)。 电阻用直流电桥,现在测量电容要用交流电桥。

图 2 交流电桥

12()()12n x j j n x Z Z e Z Z e ?????+?+??=??

通过调节阻抗

1Z 、2Z 使电桥平衡,这时电表读数为零。根据平衡条件以及一些已知的

电路参数就可以求出被测参数。用这种测量方法,参数的值还可以通

过联立方程求解,调节电阻值一般只能手动,电桥的平衡判别亦难用简单电路实现。这样,电桥法不易实现自动测量。

方案二:应用RC 振荡电路法。此方法和测量电阻的电路是一样的,在测量中可以分两个量程:

第一量程的电阻取值是R1 =10K 时;

则 f=1/ ln2(R1+2R2)Cx 故 Cx=1/ ln2(R1+2R2)f

第二量程电阻取值是R1 =510K ,公式是一样 。

2.2.3 电感测量电路的选择

方案一:电容的三点式振荡电路。电感的测量是采用电容三点式振荡电路来实现的,如图所示。三点式电路是指:LC 回路中与发射极相连的两个电抗元件必须是同性质的,另外一个电抗元件必须为异性质的,而与发射极相连的两个电抗元件同为电容时的三点式电路,成为电容三点式电路。 在这个电容三点式振荡电路中,C1、C2分别采用1000pF 、2200pF 的独石电容,其电容值远大于晶体管极间电容,可以把极间电容忽略。 振荡公式:

f =

C=C1*C2/(C1+C2)

则电感的感抗为

2214L f C π=

在测量电感的时候,发现电感起振频率非常的高,大致到达3MHz 左右,而单片机的最大计数频率大约为500KHz ,在频率方面达不到测量电感频率,于是我们把测电感的电容三点式电路得出的频率经过74LS197对该频率进行二分频满足单片机计数要求。

2.2.4 多路选择开关电路

方案一:选用CD4052四选一模拟开关芯片随着社会的发展,单片机的应用更加深入,而且89S52单片机有32个引脚可作为I/O 端口使用,操作更加灵活,对许多芯片控制很方便。由于对三种元件测量中各电路只有一个输出端,共有三路输出,而每次只有一路经通道选择读入单片机进行处理。将芯片的第9和10引脚接单片机的P1.3和P1.4口,第13引脚输入频率X 或Y 通道和P3.5相接。因此,我们可以选择CD4052四选一模拟开关芯片,只是这种电路非常简单、实用。

方案二:选用CD4051。CD4051是八选一模拟开关,它与CD4052是同一类型的芯片,可以选择是因为在作为频道选择的同时,还能对起始阶段为了便于测量而预置的端口整合到

一起呈重要作用。可以将芯片的A、B、C接单片机的P1.3、P1.4、P1.5口,其他的原理一样。

2.2.5 控制部分

方案一:采用AT89S52作为中央处理器,该MCU最大的特点就是性价比非常高,而且很适合做控制。内部具有2个外部中断、3个定时/计数中断和1个串口中断。而且I/O口多,能很好的满足该系统的要求。AT89S52还有8K的ROM,256个字节的RAM,而且具有很高的可扩展性。最高工作频率为12MHZ,最高计数频率为500HZ,这个数字已经可以测量一定范围的器件值域。

方案二:单片机式凌阳的16位SPCE061A。由于该CPU具有丰富的I/O口和丰富的时基信号,为我们提供了极大的方便,其中可以利用I/O口置高低电平来实现量程的转换,由于单片机SPCE061A的定时器可以通过外部时钟源来计数,我们便可以将555电路或电容三点式振荡电路产生的频率作为SPCE061A的定时器的时钟源,这样就很容易得到被测R/C/L对应产生的频率。而且SPCE061A具有语音处理功能,能在显示的基础上还可以加

入语音播报,使得整个测量过程更加智能化。

从性能和智能化以及测量范围较广等方面,选用方案二较好,特别是它的语音处理功能。但是基于实际生活接触的多是8051系列,但是此控制器已经可以完成相应的功能,以及从性价比考虑,我们选择了方案一。

3 系统设计

3.1 总体设计

测量电容、电阻采用LM555定时器组成的RC多谐振荡电路,以及电容的三点式振荡电路测量电感。经三路电路输出经过CD4052多通道选择开关,由单片机定时扫描,当有

器件接入时,会有一个通道被读入单片机内处理,判断是否要进行量程切换,并将结果用数码管显示出来。

特别在测量电感时,一般单片机只能计几百KHZ 的频率,,因此,在测量电感时,需要分频器分频后送入单片机计数,提高了单片机的时钟频率,可提高测 量的精度。

3.2 系统硬件电路设计

3.2.1 测Rx 的振荡电路

其原理图如下所示:

图3 RC 振荡电路

图中,LM555和Ra 、Rb 、C 构成多谐振荡器,引脚2和6直接相连。电路没有稳态,仅存在两个暂稳态,电路也不需要外加触发信号,利用电源通过Ra 、Rb 向C 充电,以及C 通过Rb 放电,使电路产生振荡。 由该电路的振荡周期为:

12(2)()(2)(2)(2)x x x T t t In R R C In R C In R R C =+=++=+

其中1t

为输出高电平的时间,

2t 为输出低电平的时间。则:

12(2)x R R In Cf +=

为了使振荡频率保持在10~100KHz 这一段单片机计数的高精度范围内,需选择合适的C 和

R的值。取

20,1000

R k C pF

=Ω=,得到)

2

(

)2

(ln

1

X

R

R

C

f

+

=

3.2.2 测Cx的振荡电路

图4 RC振荡电路

测量电阻的原理和电容的测量是一样的,在此就不在赘述。在计算时把两个电阻的值相等,主要是减少因电阻本身误差而造成的误差。通过频率和电容的关系测出电容值。

主要公式:Cx=1/3(ln2)Rf

不过在测量时要注意,建立RC稳定振荡的时间较长,故应在显示稳定后再读出参数值。

3.2.3 Lx的电容三点式振荡电路

图5 电容三点式振荡电路及分频电路

在这个电路中,C1、C2分别采用1000PF 和2200PF 的独石电容,其电容值远大于晶体管的极间电容,可以把极间电容忽略。 振荡公式:

f =

C=C1*C2/(C1+C2)

则电感的感抗为

2214L f C π=

3.2.4 通道选择和控制模块

其原理图如图所示:

图6 通道选择电路及控制模块

CD4052是一个双4选一的多路模拟选择开关,其使用真值表如表1所示 表 1

应用时可以通过单片机对A/B的控制来选择输入哪一路,例如:需要从4路输入中选择第一路输入,假设使用的是Y组,那么单片机只需要分别给A和B送0和0即可选中该路,然后进行相应的处理。注意第6脚为使能脚,只有为0时,才会有通道被选中输出。

4 系统测试

4.1 硬件测试

相对误差计算公式

100% R R

R

-

?

万仪

从上面的一组数据上来看,在测量低于1 KΩ阻值和接近1MΩ阻值的电阻时,相对误差会大一些。造成这个现象的主要原因是在设计中采用的CD4052(四路模拟开关)的内阻

较大,经测量其内阻达到了180Ω左右,这样在测量电阻值小的电阻时,它的内阻就不能忽略,造成测量误差的增大。

因为RA+2Rx=1/Cfln2

所以2Rx=⊿f/Cf*fln2-⊿C/C*Cln2

于是⊿Rx/(RA/2+Rx)= ⊿f/f+⊿C/C

因此,频率的变化误差和电容的变化率同样也影响电阻的测量值。

误差分析:

相对误差计算公式

100% R R

R

-

?

万仪

而且⊿Cx/Cx = ⊿f/f+⊿R1/R1+⊿R2/R2

从上面的数据可以看出,电容的标称值与用万用表测出的容值有较大误差,其可能性原因:一是万用表本身存在着一定误差,二是元件本身也存在一定误差。受所用仪器,元期间的限制,测量精度并没有做的很高。

注意:由于建立RC稳定振荡的时间较长,在测量电阻和电容时,应在显示稳定后再读出数

值。

4.2.3 电感的测量

电感的一组测量数据如下表4.2.3所示:

表4.2.3

因为⊿L/L=2⊿f/f+⊿C/C

除了测量中仪器的误差,电路中相应的元器件本身的差值误差也会对电感的测量产生影响。

5.总结

四天三夜马上就要结束了,我们的作品也基本完成的差不多了,虽然离达标的要求有一定的距离,但是我们组成员经历了几次心理的变化,我们坚持了下来。在我们开始做作品的过程中,遇到了许多的问题,例如,各个元器件的布局不协调,经常有引脚漏焊等情况发生,在做好每一个模块进行测试时,电源的正负极有时插颠倒,甚至将芯片工作在超出它耐压范

围通路中,造成芯片烧坏。一个特别的问题就是焊接好RC振荡电路后进行了测量,发现误差非常大,于是我们查了资料,得出的结论是LM555定时器组成的振荡器是稳定的,而且

做此作品的其他对同样有很大的误差,最终我们做了进行了大量的调试,用软件补偿的方法来减小误差。

其中最难的部分还是如何进行自动辨识元器件,以及量程不够时可以自动切换量程。在这方面我们的思想是对多选一通道进行时刻扫描,看哪个通道输入有相当的频率,就确定了是测量什么器件。切换量程我们用继电器的工作特性,来判别。开始时继电器工作在常闭状

态,当继电器接单片机I/O端口为高电平时换令一路开关导通。可以换另一量程。

在调试时我们发现,测量电阻的范围是500欧姆,最大可达600K欧姆,

当测量低值电阻时误差比较大,在达到10K欧姆,误差变得很小了。

最后要感谢系里给我们提供这样学习的机会,让我们在学习理论的同时,提高自己的动手能力。同时感谢系里的几位指导老师对我们的指导和生活上的关心,让我们能放心和专心的学习与参赛。

参考文献

康华光《电子技术基础》高等教育出版社

张义和王敏男《例说51单片机(C语言版)》人民邮电出版社

全国大学生电子设计竞赛组委会. 全国大学生电子设计竞赛获奖作品选编(1999).北京:北京理工大学出版社,2000年

附录

附录1:系统电路图

附录2:程序流程图

附录3:源程序

#include

#include

#define SEG P2

#define uchar unsigned char

#define uint unsigned int

uchar code seg[10]={

0x3F,

0x06,

0x5B,

0x4F,

0x66,

0x6D,

0x7D,

0x07,

0x7F,

0x6F,

}; //0~~9段码

uchar dis[6]={

0xFC, //0

0xFC, //0

0xFC, //0

0xFC, //0

0xFC, //0

0xFC, //0

};

//t0定时器相关变量

#define count_m1 49609 //0.05s

#define h_0 (65536-count_m1)/256 //高8位

#define l_0 (65536-count_m1)%256 //低8位

uchar time1; //t0中断次数#define h_2 (65536-3000)/256 //高8位

#define l_2 (65536-3000)%256 //低8位

uchar time2; //t0中断次数

//相关变量定义区

bit c_flag=1;

uint freq=0;

uint freq1=0;

sfr16 DPTR = 0x82;

uchar i;//数码管

uint zhi;

uchar x_flag;

uchar xuanze;

bit dangwei; uchar dian;

bit cishu;

sbit xuan1=P1^0; sbit xuan2=P1^1; sbit key1=P1^2; sbit key2=P1^3; sbit key3=P1^4; sbit dianzhu=P1^5; sbit dianrong=P1^6; void measure(void) {

if(cishu==1)

time1=20;

else

time1=10;

ET1=1;

ET0=1;

基于51单片机的数字电容测量仪设计说明

电子系统设计创新与实习报告 设计课题基于单片机的电容测量仪设计 学院信息科学与工程 学生姓名 学号 专业班级 队友 指导教师 设计时间2014.6.4-2014.7.3

本设计详细介绍了一种基于单片机的数字式电容测量仪设计方案及实现方法。设计的主要方法是采用555芯片构成单稳态触发器,将电容容量转换为脉冲宽度。通过单片机的计时器测量脉宽, 根据已知的R值,通过单片机的运算功能,计算出电容容量,最后,再通过单片机的普通I/O口控制液晶屏显示出电容容量的计算结果。系统的测量范围为10pF~ 500uF, 具有多个量程,可根据用户需要由用户选择,与用户的交互是通过键盘实现,不同量程的实现是通过单片机的I/O口控制继电器的吸合与断开来选择不同的R值,从而实现不同的量程。同时,本设计注重设计方法及流程,首先根据原理设计电路,再通过protues 仿真,利用keil编程,进而借助altium designer 制作PCB,最后到焊接元器件,调试直至成功。

1 系统方案设计 1.1 设计说明及要求 1.1.1 设计说明 框图中的外接电容是定时电路中的一部分。当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 1.1.2 设计要求 (1)基本要求 ①自制稳压电源。 ②被测电容的容量在10pF至10000μF范围内 ③设计四个的测量量程。 ④显示测量结果,测量误差小于2.5%。 数字显示:显示分辨率:每档满量程的0.1%; 电容测量:电压可选择5V,25V,50V; 为实现该设计,达到相应的设计要求,本次设计中考虑了三种设计方案,三种设计方案中主要区别在于硬件电路和软件设计的不同,对于本设计,三种方案均能够实现,最后根据设计要求、可行性和设计成本的考虑选择了基于STC89C52单片机和555芯片构成的单

最新智能电阻、电容和电感测试仪的设计

南昌工程学院 毕业设计(论文) 信息工程学院系(院)通信技术专业毕业设计(论文)题目智能电阻、电容和电感测试仪的设计 学生姓名 班级 学号 指导教师 完成日期2010 年 6 月19 日

智能电阻、电容和电感测试仪的设计Smart resistors, capacitors and inductors Test Instrument 总计毕业设计(论文) 27 页 表格 1 个 插图 12 幅

摘要 本文先对设计功能及要求进行了阐述,然后提出要完成该功能的设计方案,最后会对电阻,电容,电感的测试进行设计。本设计是利用AT89C52芯片的单片机来实现测试的,其中电阻和电容是采用555多谐振荡电路产生的,而电感则是根据电容三点式产生的,从而实现各个参数的测量。这样,一方面测量精度较高,另一方面便于使仪表实现智能化。 关键词:AT89C52芯片555多谐振荡电路电容三点式 Abstract This paper first to design function and requirement are expounded, then puts forward to finish the design scheme of the function, and finally to resistance, capacitance and inductance. This design is used to realize the AT89C52 chip microcontroller test, resistor and capacitor is used at 555 resonance swings, which is produced by the inductance circuits are produced according to SanDianShi capacitance, thus realize each parameter measurement. So, on the one hand, the measurement precision, on the other hand to make intelligent instrument. Key words:AT89C52Chip;555 resonance swings circuit; SanDianShi capacitance

简易电阻、电容和电感测试仪设计说明

课程设计任务书 学生:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电阻、电容和电感测试仪设计 初始条件: LM317 LM337 NE555 NE5532 STC89C52 TLC549 ICL7660 1602液晶 要求完成的主要任务: 1、测量围:电阻 100Ω-1MΩ; 电容 100pF-10000pF; 电感 100μH-10mH。 2、测量精度:5%。 3、制作1602液晶显示器,显示测量数值,并用发光二级管分别指示所测元件的类别。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:__________ 年月日

目录 摘要 (3) ABSTRACT (4) 1、绪论 (5) 2、电路方案的比较与论证 (5) 2.1电阻测量方案 (5) 2.2电容测量方案 (7) 2.3电感测量方案 (8) 3、核心元器件介绍 (10) 3.1LM317的介绍 (10) 3.2LM337的介绍 (11) 3.3NE555的介绍 (11) 3.4NE5532的介绍 (13) 3.5STC89C52的介绍 (14) 3.6TLC549的介绍 (16) 3.7ICL7660的介绍 (17) 3.81602液晶的介绍 (18) 4、单元电路设计 (20) 4.1直流稳压电源电路的设计 (21) 4.2电源显示电路的设计 (21) 4.3电阻测量电路的设计 (22) 4.4电容测量电路的设计 (23) 4.5电感测量电路的设计 (24) 4.6电阻、电容、电感显示电路的设计 (25) 5、程序设计 (26) 5.1中断程序流程图 (26) 5.2主程序流程图 (27) 6、仿真结果 (27) 6.1电阻测量电路仿真 (27) 6.2电容测量电路仿真 (28) 6.3电感测量电路仿真 (28) 7、调试过程 (29) 7.1电阻、电容和电感测量电路调试 (29) 7.2液晶显示电路调试 (29) 8、实验数据记录 (30)

基于单片机电阻电容电感测试仪

1 前言 1.1 设计的背景及意义 目前,随着电子工业的发展,电子元器件急剧增加,电子元器件的适用范围也逐渐广泛起来,在应用中我们常常要测定电阻,电容,电感的大小。因此,设计可靠,安全,便捷的电阻,电容,电感测试仪具有极大的现实必要性。 通常情况下,电路参数的数字化测量是把被测参数传换成直流电压或频率后进行测量。 电阻测量依据产生恒流源的方法分为电位降法、比例运算器法和积分运算器法。比例运算器法测量误差稍大,积分运算器法适用于高电阻的测量。 传统的测量电容方法有谐振法和电桥法两种。前者电路简单,速度快,但精度低;后者测量精度高,但速度慢。随着数字化测量技术的发展,在测量速度和精度上有很大的改善,电容的数字化测量常采用恒流法和比较法。 电感测量可依据交流电桥法,这种测量方法虽然能较准确的测量电感但交流电桥的平衡过程复杂,而且通过测量Q值确定电感的方法误差较大,所以电感的数字化测量常采用时间常数发和同步分离法。 因为测量电阻,电容,电感方法多并具有一定的复杂性,所以本次设计是在参考555振荡器基础上拟定的一套自己的设计方案。是尝试用555振荡器将被测参数转化为频率,这里我们将RLC的测量电路产生的频率送入AT89C52的计数端端,通过定时并且计数可以计算出被测频率再通过该频率计算出各个参数。 1.2 电阻、电容、电感测试仪的发展历史及研究现状 当今电子测试领域,电阻,电容和电感的测量已经在测量技术和产品研发中应用的十分广泛。 电阻、电容和电感测试发展已经很久,方法众多,常用测量方法如下。电阻测量依据产生恒流源的方法分为电位降法、比例运算器法和积分运算器法。比例运算器法测量误差稍大,积分运算器法适用于高电阻的测量。传统的测量电容方法有谐振法和电桥法两种。前者电路简单,速度快,但精度低;后者测量精度高,但速度慢。随着数字化测量技术的发展,在测量速度和精度上有很大的改善,电容的数字化测量常采用恒流法和比较法。电感测量可依据交流电桥法,这种测量方法虽然能较准确的测量电感但交流电桥的平衡过程复杂,而且通过测量Q值确定电感的方法误差较大,所以电感的数字化测量常采用时间常数发和同步分离法。 在我国1997年05月21日中国航空工业总公司研究出一种电阻、电容、电感在线测量方法及装置等电位隔离方法,用于对在线的电阻、电容、电感元件实行等电位隔离,其特征在于,(1>将一个运算放大器的输出端与其反相输入端直接连接,形成一个电压跟

基于单片机电容测量仪设计

摘要 目前,随着电子工业的发展,电子元器件急剧增加,电子元器件的适用范围也逐渐广泛起来,在应用中我们常常要测定电容的大小。在电子产品的生产和维修中,电容测量这一环节至关重要,因此,设计可靠,安全,便捷的电容测试仪具有极大的现实必要性。本文提出了以MCS-51单片机为控制核心,结合多谐振荡器来实现电容测量的方法。并介绍了测量原理并给出了相应的电路及软件设计。 关键词:电容测试仪;单片机;测量

目录 1概述 (1) 1.1 设计目的和意义 (1) 1.2 设计任务与要求 (1) 2 硬件电路设计及其描述 (1) 2.1 设计方案 (1) 2.2 原理框图 (2) 2.3 基于A T89C51电容测量系统硬件设计详细分析 (2) 2.3.1 A T89C51单片机工作电路 (2) 2.3.2 基于A T89C51电容测量系统复位电路 (3) 2.3.3 基于A T89C51电容测量系统时钟电路 (4) 2.3.4 基于A T89C51电容测量系统按键电路 (4) 2.3.5 基于A T89C51电容测量系统555芯片电路 (5) 2.3.6 基于A T89C51电容测量系统显示电路 (6) 2.4 各部分电路连接成整个电路图 (9) 2.5 系统所用元器件 (10) 2.6 PCB制图 (11) 3 软件流程及程序设计 (11) 3.1 系统模块层次结构图 (11) 3.2 程序设计算法设计 (12) 3.3 软件设计流程 (13) 3.4 源程序代码 (13) 4 系统调试及仿真 (17) 5 总结 (16) 5.1 本系统存在的问题及改进措施 (16) 5.2 心得体会 (18) 参考文献 (19)

简易数字式电阻、电容和电感测量仪设计

简易数字式电阻、电容和电感测量仪设计报告 摘要:本系统利用TI公司的16位超低功耗单片机MSP430F149和ICL8038精密函数发生器实现对电阻、电容和电感参数的测量。本系统以自制电源作为LRC数字电桥和各个主要控制芯片的输入电源,并采用ICL8038芯片产生高精度的正弦波信号流经待测的电阻、电容或者电感和标准电阻的串联电路,通过测量电阻、电容或者电感和标准电阻各自的电压,利用电压比例计算的方法推算出电阻值、电容值或者电感值。利用MSP430F149单片机控制测量和计算结果,运用自校准电路提高测量精度,同时用差压法,消除了电源波动对结果的影响。测量结果采用12864液晶模块实时显示。实验测试结果表明,本系统性能稳定,测量精度高。 关键词:LRC 数字电桥、电压比例法、液晶模块、MSP430F149、电阻电容电感测量 一、设计内容及功能 1.1设计内容 设计并制作一台简易数字式电阻、电容和电感参数测量仪,由测量对象、测量仪、LCD 显示和自制电源组成,系统模块划分如下图所示: 测量对象 LCD显示 电阻/电容/电感 简易的数字电阻、电容和电感测量仪 自制电源 1.2 具体要求 1. 测量范围 (1)基本测量范围:电阻100Ω~1MΩ;电容100pF~10000pF;电感100μH~10mH。 (2)发挥测量范围:电阻10Ω~10MΩ;电容50pF~10μF;电感50μH~1H。 2. 测量精度 (1)基本测量精度:电阻±5% ;电容±10% ;电感±5% 。 (2)发挥测量精度:电阻±2% ;电容±8% ;电感±8% 。 3. 利用128*64液晶显示器,显示测量数值、类型和单位。 4. 自制电源 5. 使用按键来设置测量的种类和单位 1.3系统功能 1. 基本完成以上具体要求 2. 使用三个按键分别控制R、C、L的测试 3. 采用液晶显示器显示测量结果 二、系统方案设计与选择 电阻、电容、电感测试仪的设计目前有多种方案可以实现,例如、使用可编程逻辑控制器(PLC)、振荡电路与单片机结合或CPLD与EDA相结合等等来实现。在设计前本文对各种方案进行了比较:

隔离-电阻-电容-电感测试原理

三:测试原理 3.1隔离技术(GUARDING) 隔离技术是ict有别于万用表,是ICT特有的一种技术.因电路板上的元器件都是串并联在一起的,直接测试会因周边零件的影响而造成测试数值不准确,故在ICT里面有一种非常重要的技术,它就是隔离技术,通过隔离来屏蔽其他零件的影响。如图所示: D 隔离是利用运算放大器的“虚断”和“虚短”原理使C点的电位保持和B点基本等同接地,电压为0V。 隔离一般为分二种:隔离VCC与地。一般电阻测试都是隔离VCC,电容测试隔离地(GND)隔离点(G点)的设置一般在3个以下,三个以上的隔离点使用效果也不太。 3.2电阻测试原理 3.2.1 电阻器的分类 电阻器有不同的分类方法。按材料分,有碳膜电阻、水泥电阻、金属膜电阻和线绕电阻等不同类型;按功率分,有 1/2W、 1/4W、 1/8W、1/16W 、1W、2W等额定功率的电阻;按电阻值的精确度分,有精确度为± 5%、± 10%、± 20%等的普通电阻,还有精确度为± 0.1%、± 0.2%、± 0.5%、± l%和± 2%等的精密电阻。电阻的类别可以通过外观的标记识别。电阻器的种类有很多,通常分为三大类:固定电阻,可变电阻,特种电阻。在电子产品中,以固定电阻应用最多。而固定电阻以其制造材料又可分为好多类,但常用、常见的有RT型碳膜电阻、RJ型金属膜电阻、RX型线绕电阻,还有近年来开始广泛应用的片状电阻。型号命名很有规律,第一个字母R代表电阻;第二个字母的意义是:T-碳膜,J-金属,X-线绕,这些

符号是汉语拼音的第一个字母。在国产老式的电子产品中,常可以看到外表涂覆绿漆的电阻,那就是RT型的。而红颜色的电阻,是RJ型的。一般老式电子产品中,以绿色的电阻居多。为什么呢?这涉及到产品成本的问题,因为金属膜电阻虽然精度高、温度特性好,但制造成本也高,而碳膜电阻特别价廉,而且能满足民用产品要求。 电阻器当然也有功率之分。常见的是1/8瓦的“色环碳膜电阻”,它是电子产品和电子制作中用的最多的。当然在一些微型产品中,会用到1/16瓦的电阻,它的个头小多了。再者就是微型片状电阻,它是贴片元件家族的一员,以前多见于进口微型产品中,现在电子爱好者也可以买到了国产产品用来制作小型电子装置。 1、线绕电阻器:通用线绕电阻器、精密线绕电阻器、大功率线绕电阻器、高频线绕电阻器。 2、薄膜电阻器:碳膜电阻器、合成碳膜电阻器、金属膜电阻器、金属氧化膜电阻器、化学沉积膜电阻器、玻璃釉膜电阻器、金属氮化膜电阻器。 3、实心电阻器:无机合成实心碳质电阻器、有机合成实心碳质电阻器。 4、敏感电阻器:压敏电阻器、热敏电阻器、光敏电阻器、力敏电阻器、气敏电阻器、湿敏电阻器。 3.2.2 固定电流源(Constant Current)模式C2 f! r' H' r; \: ` 对于不同的电阻值,ICT本身会自动限制一个适当的固定电流源做为测试的信号源使用,如此才不会因使用都的选择不当,因而产生过高的电压而烧坏被测试元件,故其测试方式为:提供一个适当的固定电流源I,流经被测电阻R,再于被测电阻R两端,测量出Vr,由于Vr及I已知,利用Vr=IR公式,即可得知被测电阻R值,如图: y2 A( K1 Q3 R9 k5 z $ S4 U8 S( b2 n! Z9 ~ : R2 a+ m. F9 j3 t 3.2.3低固定电流源(Low Constant Current)模式

数字式电阻测量仪(完整版)

单片机原理及应用课程设计报告 设计题目:数字式电阻测量仪 学院 专业 班级 姓名 学号 指导教师申明 2011 年秋季学期

摘要 本设计电阻测量是利用A/D转换原理,将被测模拟量转换成数字量,并用数字方式显示测量结果的电子测量仪表。通常测量电阻都采用大规模的A/D转换集成电路,测量精度高,读数方便,在体积、重量、耗电、稳定性及可靠性等方面性能指标均明显优于指针式万用表。其中,A/D转换器将输入的模拟量转换成数字量,逻辑控制电路产生控制信号,按规定的时序将A/D转换器中各组模拟开关接通或断开,保证A/D 转换正常进行。A/D转换结果通过计数译码电路变换成ASCII码,最后驱动显示器显示相应的数值。本系统以单片机AT89C51为系统的控制核心,结合A/D转换芯片 AT89C51设计一个电阻测量表,能够测量一定数值之间的电阻值,通过LCD液晶显示。具有读数据准确,测量方便的特点。 关键词:单片机(AT89C51);电压;A/D转换;TLC548

目录 设计要求 (1) 1 电路的论证与对比 (1) 1.1 方案一 (1) 1.2 方案二 (2) 1.3 方案的对比与比较 (2) 2 系统硬件电路设计 (2) 2.1 CPU时钟 (2) 2.2 A/D转换电路模块 (2) 2.2.1主要性能 (3) 2.2. 2 TLC548芯片的组成原理.................. 错误!未定义书签。 2.2.3 TLC548引脚功能 (5) 2.3 主控芯片AT89C51模块 (5) 2.3.1主要功能特性 (6) 2.3.2 主要引脚功能 (7) 2.4 显示控制电路的设计及原理 (9) 3程序设计 (10) 3.1 初始化程序 (10) 3.2主程序 (10) 3.3显示子程序 (11) 3.4 A/D转换测量子程序 (144) 4系统调试与分析 (15) 5元器件清单 (17) 6 总结与致谢 (17) 7 参考文献 (18) 附一:原理图 ............................................................

简易电阻、电容和电感测试仪设计_毕业设计论文

课程设计任务书 题目: 简易电阻、电容和电感测试仪设计 初始条件: LM317 LM337 NE555 NE5532 STC89C52 TLC549 ICL7660 1602液晶 要求完成的主要任务: 1、测量范围:电阻 100Ω-1MΩ; 电容 100pF-10000pF; 电感 100μH-10mH。 2、测量精度:5%。 3、制作1602液晶显示器,显示测量数值,并用发光二级管分别指示所测元件的类别。 时间安排: 指导教师签名:年月日系主任(或责任教师)签名:__________ 年月日

目录 摘要 (4) ABSTRACT (5) 1、绪论 (7) 2、电路方案的比较与论证 (7) 2.1电阻测量方案 (7) 2.2电容测量方案 (9) 2.3电感测量方案 (10) 3、核心元器件介绍 (12) 3.1LM317的介绍 (12) 3.2LM337的介绍 (13) 3.3NE555的介绍 (13) 3.4NE5532的介绍 (15) 3.5STC89C52的介绍 (17) 3.6TLC549的介绍 (18) 3.7ICL7660的介绍 (20) 3.81602液晶的介绍 (21) 4、单元电路设计 (23) 4.1直流稳压电源电路的设计 (24) 4.2电源显示电路的设计 (24) 4.3电阻测量电路的设计 (25) 4.4电容测量电路的设计 (26) 4.5电感测量电路的设计 (27) 4.6电阻、电容、电感显示电路的设计 (28) 5、程序设计 (29) 5.1中断程序流程图 (29) 5.2主程序流程图 (30) 6、仿真结果 (30) 6.1电阻测量电路仿真 (30) 6.2电容测量电路仿真 (31) 6.3电感测量电路仿真 (32) 7、调试过程 (33) 7.1电阻、电容和电感测量电路调试 (33) 7.2液晶显示电路调试 (33) 8、实验数据记录 (34)

毕业设计简易自动电阻测试仪

简易自动电阻测试仪 摘要 本设计根据题目要求制作一台简易自动电阻测试仪,能够测量100Ω、1kΩ、10k Ω、10MΩ四档不同的量程,并实现其中前三档的自动量程转换功能,同时自动显示小数点和单位。基于这些要求,经过讨论,决定利用555多谐振荡电路将电阻参数转化为频率,频率f是单片机很容易处理的数字量,一方面测量精度高,另一方面便于使仪表实现自动化,而且单片机构成的应用系统有较大的可靠性。通过输入单片机AT89C51控制继电器控制被测RC振荡电路频率的自动选择,输入输出控制采用键盘输入控制电路、LCD12864显示系统和报警控制电路组成,能很好的实现各个要求。单片机具有可编程性,硬件的功能描述可完全在软件上实现,另一方面便于使仪表实现自动化,设计时间短,成本低,可靠性高。 关键字:AT89C51单片机555多谐振荡电路继电器自动量程转换 Abstract The design on the basis of the subject demand produced a simple automatic resistance tester, capable of measuring 100 Omega Omega, 1K, 10K, 10M Omega Omega four profile at different range, and realizes the automatic conversion range before the third, while automatically display a decimal point and unit. Based on these requirements, after discussion, decided to use the 555 multivibrator circuit resistance parameters are transformed into frequency, frequency of F SCM is easily handled the digital quantity, a high measuring precision, on the other hand, so easy to realize automation of instrumentation, and chip microprocessor application system has higher reliability. Through the input of single-chip AT89C51 control relay to control the tested RC oscillating circuit frequency automatic selection, input / output control using the keyboard input control circuit, LCD12864 display system and an alarm control circuit, can achieve a very good all. Microcontroller having programmable, hardware description of the function can be completely realized in software, on the other hand, so easy to realize automation of instrumentation, short design time, low cost, high reliability. Keywords: single chip AT89C51 555 multivibrator circuit relay automatic range switching

电容测试仪的设计

目录 1、设计指标 (3) 2、设计原理 (3) 2.1设计原理框图 (3) 2.2设计方案 (3) 2.3模块介绍 (4) 2.3.1 控制电路 (4) 2.3.2 时钟脉冲发生器 (4) 2.3.3 计数器和显示器 (6) 3、单元电路的设计 (6) 3.1多谐振荡器 (6) 3.2单稳态触发器 (8) 3.3.1整流电路采用直流稳压电源设计思路 (9) 3.3.2直流稳压电源的原理框图分析 (9) 3.3.3直流稳压电源特点 (10) 4、设计制作过程及整体电路图 (10) 4.1设计制作过程 (10) 4.2整体电路图 (11) 5、芯片介绍 (11) 5.1555芯片功能介绍 (11) 5.274LS192芯片介绍 (13) 总结 (14) 致谢 (15) 参考文献 (16)

1、设计指标 1.1 设计目的 (1) 掌握数字电容测试仪的构成、原理和设计方法。 (2) 掌握集成电路的使用方法。 1.2 基本要求 (1)电容测量范围为1000pF~10uF,输出应能直接显示其值,误差≤5%,电源电压为+5V。 (2)量程可切换,显示值能够标定。 (3)要求最终正确无误地完成全部电路设计,并具有一定先进性,对电路设计也应提出建议性意见并写出合格的课程设计说明书,圆满完成各项任务。 2、设计原理 2.1设计原理框图 图1.电容测试仪原理框图 2.2 设计方案 利用单稳态触发器或电容器充放电规律等,可以把被测电容的大小转换成脉冲宽窄,即控制脉冲宽度Tx 与Cx成正比。只要把此脉冲与频率固定不变的方波即时钟脉冲相与,便可得到计数脉冲,把计数脉冲送给计数器计数,然后再送给显示器显示。如果时钟脉冲的频率等参数合适,数字显示器显示的数字N便是电容Cx的大小。之所以选择该方案是考虑到这个方案不仅设计比较容易实现,而且更重要的是该方案设计出来的数字测试仪测量的结果比较精确。

数字电容测量仪-课程设计

数字电子技术课程设计报告书 课题名称 数字电容测量仪的设计 姓 名 吴亚香 学 号 1212501-35 学 院 通信与电子工程学院 专 业 电子科学与技术 指导教师 张学军 2014年 6月 10 日 ※ ※※※※※※※※ ※※ ※※ ※※ ※ ※※ ※※※※※※ 2012级电子科学与技术专业 数字电子技术课程设计

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图

图1 数字电容测量仪原理图 3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。 555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R 1和R 2 对电容C充电,其电压U C 由0按指数 规律上升。当U C ≥2/3V CC 时,电压比较器C 1 和C 2 的输出分别为U C1 =0、U C2 =1,基 本RS触发器被置0,Q=0、Q’=1,输出U 0跃到低点平U oL 。与此同时,放电管V 导通,电容C经电阻R2和放电管V放电,电路进入暂稳态。随着电容C放电, Uc下降到Uc≤1/3Vcc时,则电压比较器C 1和C 2 的输出为U c1 =1、U c2 =0,基本RS 触 发器被置1,Q=1,Q’=0,输出U 0由低点平U oL 跃到高电平Uo H 。同时,因Q’=0, 放电管V截止,电源Vcc又经过电阻R 1和R 2 对电容C充电。电路又返回前一个 暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。 图2 555组成多谐振荡器 图3多谐振荡电路及输出波形 3.3 单稳态触发器电路的设计 单稳态触发器所产生波形用于控制计数,由555定时器组成的单稳触发器,它既为下级的多谐触发器提供输入脉冲,又为后面计数器开始计数提供信号脉

简易电阻、电容、电感测量仪_图文.

简易电阻、电容和电感测试仪的设计 一、任务 设计并制作一个简易电阻、电容和电感测试仪系统,包括测量、控制与显示三部分。其中测量电路包括:被测电阻,被测电容,被测电感,其中包括模拟快关、整形、分频等部分;显示电路包括:二极管的显示、数字显示;控制电路括:按键的选择测量电路与单片机的控制部分。 二、要求 1、基本要求 (1)测量范围:电阻100Ω~1M Ω;电容100pF ~10000pF ;电感100μH ~10mH 。 (2)测量精度:±5% 。 (3)制作4 位数码管显示器,显示测量数值。 示意框图 2.发挥部分 (1)扩大测量范围; (2)提高测量精度;

(3)测量量程自动转化。 3 评分标准 摘要: 本文先对设计功能及要求进行了阐述,然后提出要完成该功能的设计方案,最后综合考虑之后选定方法,再对电阻,电容,电感的测量电路进行设计。本设计是利用单片机来实现测试的,其中电阻和电容是采用555多谐振荡电路产生的,而电感则是根据电容三点式产生的,从而实现各个参数的测量。在电阻的测量电路中,我们把它分为两档来进行测量,并用单片机来驱动继电器以实现,这样,一方面测量精度较高,另一方面便于使仪表实现智能、自动化。 关键词:单片机 555多谐振荡电容三点式继电器 In this article, the function and the requirement of design were introduced, and then puts forward to want to complete the function, the design of the last comprehensive consideration selection methods, and then a resistor, capacitor, inductor measurement circuit design. This design is to realize the test using single chip computer, of which the resistor and capacitor is used more than 555 resonance swing circuitry, and inductance is produced according to the capacitance SanDianShi, so as to realize the measurement of each parameter. In the resistance and capacitance measurement circuit, we put it into two

电阻测量系统设计说明

《电子系统设计》课程设计题目:电阻测量系统设计

自动电阻测试仪 【摘要】本简易自动电阻测试仪具有手动四档及自动三档量程转换电阻测试功能,以单片机为控制核心,使用仪表运放来精确采集被测电阻两端电压,经过模数转换电路将模拟信号转换成数字信号,以便单片机进行处理。最后通过LCD12864液晶显示出结果,能自动显示小数点和单位;并且该装置具有电阻自动筛选和自动测量显示电位器变化曲线的功能。实验结果表明,本系统完全达到设计要求,多项指标优于题目要求。 【主要技术】(1) 通过编程来实现对电阻值的直接测量 (2) 12位A/D转换技术 (3) 量程转换技术 (4)液晶显示器的有效应用 (5)串并转换技术 (6) 通过单片机控制电机实现对电位器的自动控制 【关键词】模数转换;自动量程转换;INA114;电阻;MCU;液晶显示;

目录 一、系统方案 (4) 1.1 设计要求 (4) 1.1.1 任务 (4) 1.1.2 要求 (4) 1.2 总体方案 (5) 1.2.1 方案论证与比较 (5) 1.2.2系统组成 (5) 二、理论计算与电路分析 (6) 2.1 电阻测量原理 (6) 2.2.1测量电路 (6) 2.1.2基准电压电路 (7) 2.2 自动量程转换与筛选的设计 (7) 2.2.1 自动量程转换 (7) 2.2.2 筛选功能 (8) 2.3 电位器阻值变化曲线装置的设计 (8) 三、电路与程序设计 (8) 3.1 电路设计与分析 (8) 3.1.1 电源模块 (8) 3.1.2 测量及转换模块 (9) 3.1.3 控制显示模块 (10) 3.1.4 辅助装置 (10) 3.2 程序流程图设计 (11) 四、系统测试方案与结果 (13) 4.1 测试条件 (13) 4.2 测试方案 (13) 4.3 测试结果及分析 (13) 五、结论和系统特色 (14)

实用电容测量仪设计

实用电容测量仪设计 姓名: 刘立鹏 专业: 电子信息工程 班级: 电子10 学号: 时间: 2013年4月8日 目录 1功能说明 (3) 2 整体方案设计 (4) 2.1 方案论证 (4) 2.2 方案选择 (6) 3 单元模块设计 (6) 3.1 AT89C51单片机工作电路 (6) 3.2 系统时钟电路 (7) 3.2.1 内部时钟电路 (7) 3.2.2 外部时钟电路 (8) 3.3 555芯片电路 (9) 3.4 系统显示电路 (10) 3.5 系统按键电路 (11) 3.6 系统总电路图 (12) 4 软件设计 (13) 4.1 软件设计原理及所用工具 (13)

4.2 软件设计流程图 (15) 4.3 编写程序 (16) 6 设计总结 (22) 7 参考文献 (23) 1功能说明:基于AT89C51单片机和555芯片的数显式电容测量。该方案主要是根据555芯片的应用特点,把电容的大小转变成555输出频率的大小,进而可以通过单片机对555输出的频率进行测量,再通过该频率计算出被测参数。在系统软件设计中,是以Proteus为仿真平台,使用C语言编程编写了运行程序。该测量仪具有结构简单,成本低廉,精度较高,方便实用等特点。 2整体方案设计 本设计的整体思路是:基于AT89C51单片机和555芯片的数字式电容测量。该方案主要是根据555芯片的应用特点,把电容的大小转变为555输出频率的大小,进而可以通过单片机对555输出的频率进行测量。 2.1 方案论证 设计中采用了两个方案,具体的方案见方案一和方案二。 方案一:利用多谐振荡原理如图2.1所示。电容C电阻R和555芯片构成一个多谐振荡电路。在电源刚接通时,电容C上的电压为零,多谐振荡器输出V0为高电平V0通过R对电容C充电。当C上冲得的电压Vc=Vr时,施密特触发器翻转,V0变为低电平,C又通过R放电,Vc下降。当Vc=Vr时施密特触发器又翻转,输出Vc又变为高电平,如粗往复产生震荡波形。 图2.1 多谐振荡原理图 这种方法是利用了一个参考的电容实现,虽然硬件结构简单,软件实现却

简易电阻电容电感测量

简易的测量电阻电容电感 摘要:本设计是一个电阻电感电容的简易测量装置,主要由模拟测量和1602液晶显示两部分组成,其中电阻和电容电感的测量都是通过构造电路产生一定频率的波形,再通过单片机读取频率,经过程序处理转化,再通过1602液晶显示。由于系统处理数据时通过单片机对频率信号的读取,使得最后测量的结果更加精确与稳定,误差控制在题目所允许的范围内。 关键词:电阻电容电感测量仪,1602显示,555定时器,电容三点式

目录 1. 系统设计 (2) 1.1 设计要求 (2) 1.2 方案比较 (2) 1.2.1 电阻测量方案 (2) 1.2.2 电容测量方案 (4) 1.2.3电感测量方案 (5) 1.2.4显示电路方案 (6) 1.3 方案论证 (6) 1.3.1 总体思路 (6) 1.3.2 设计方案 (7) 2. 单元电路设计 (7) 2.1 电阻测量电路 (7) 2.2 电容测量电路 (8) 2.3 电感测量电路 (9) 2.4 1602显示电路 (10) 3. 软件设计 (11) 4. 系统测试 (11) 4.1 测试仪器与设备 (11) 4.2 指标测试 (12) 5 结论 (13) 参考文献 (13) 附录1、元器件明细表...............................................................= (13) 附录2:程序清单 (13)

1. 系统设计 1.1 设计要求 设计并制作一台数字显示的电阻、电容和电感参数测试仪 1. 测量范围:电阻100Ω~1MΩ;电容100pF~10000pF;电感100μH~10mH。 2. 测量精度:±5% 。 3. 带有显示部分。 1.2 方案比较 1.2.1 电阻测量方案 相位测量方案的关键问题是电阻测量方法的选择。 方案一:串联分压原理 V Rx R0 图1串联电路原理图 根据串联电路的分压原理可知,串联电路上电压与电阻成正比关系。通过测量Rx和R0上的电压。由公式Rx=Ux/(U0/R0) 方案二:利用直流电桥平衡原理的方案 图2 电桥(其中R1,R2,为可变电位器,R3为已知电阻,R4为被测电阻)根据电路平衡原理,不断调节电位器,使得电表指针指向正中间。由R1*R4=R3*R4.在通过测量电位器电阻值,可得到R4的值。 方案三:利用555构成单稳态的方案

直流电阻测试仪的设计

毕业设计 题目:直流电阻测试仪的设计 院:电气信息学院 专业:电子技术班级: 1101 学号: 201001180111 学生姓名: 导师姓名: XXXX 完成日期: 2015年6月8号

诚信声明 本人声明: 1、本人所呈交的毕业设计(论文)是在老师指导下进行的研究工作及取得的研究成果; 2、据查证,除了文中特别加以标注和致谢的地方外,毕业设计(论文)中不包含其他人已经公开发表过的研究成果,也不包含为获得其他教育机构的学位而使用过的材料; 3、我承诺,本人提交的毕业设计(论文)中的所有内容均真实、可信。 作者签名:日期:年月日

毕业设计(论文)任务书 题目: 直流电阻测试仪的设计与研究 姓名 XXXXX 学院 电气信息学院 专业 电子技术 班级 1XXX 学号 201001180111 指导老师 XXXX 职称 讲师 教研室主任 XXXXX 一、 基本任务及要求: 本课题的只要内容是设计并制作能够测量电机、变压器等感性负载的直流电阻测试仪。其具体要求如下: 1、恒流源输出:1A-10A ; 2、ADC 分辨率10位以上; 3、液晶或数码管显示测量值。 二、 进度安排及完成时间: 1、第一周至第二周:查阅资料、撰写文献综述和开题报告; 2、第三周至第四周:毕业实习; 3、第五周至第六周:学习单片机; 4、第七周至第八周:各参数测试算法研究; 5、第九周至第十一周:完成系统硬件电路的设计; 6、第十二周至第十三周:完成单片机程序的编写; 7、第十四周至第十五周:撰写设计说明书; 8、第十六周:毕业设计答辩

目录 摘要 (1) Abstract (2) 第一章绪论 (3) 1.1课题研究背景及意义 (3) 1.2直流电阻测试仪的研究现状 (4) 1.3课题的主要研究内容 (5) 第二章直流电阻测试仪方案设计 (6) 2.1直流电阻测试仪的原理 (6) 2.2整体方案设计 (7) 2.3 单片机的选型 (7) 2.4 A/D转换器的选型 (9) 2.5 显示LCD (10) 第三章测试仪的硬件设计 (11) 3.1 单片机最小系统 (11) 3.2恒流源模块 (12) 3.3键盘显示模块 (12) 3.4 A/D转换模块 (14) 3.5 RS-232串口模块 (15) 第四章测试仪的软件设计 (16) 4.1 主程序设计 (16) 4.2 键盘程序设计 (17) 4.3 电压检测设计 (18) 4.4 计算电阻值 (18) 第五章仿真与调试 (20) 5.1 系统仿真 (20) 5.2 硬件调试 (20) 总结 (21) 参考文献 (22) 致谢 (23) 附录系统总电路 (24)

实验十八交流电桥测电容和电感

实验二十八 交流电桥测电容和电感 交流电桥与直流电桥相似,也由四个桥臂组成。但交流电桥组成桥臂的元件不仅是电阻,还包括电容或电感以及互感等。由于交流电桥的桥臂特性变化繁多,因此它测量范围更广泛。交流电桥除用于精确测量交流电阻、电感、电容外,还经常用于测量材料的介电常数、电容器的介质损耗、两线圈间的互感系数和耦合系数、磁性材料的磁导率以及液体的电导率等。当电桥的平衡条件与频率有关时,可用于测量交流电频率等。交流电桥电路在自动测量和自动控制电路中也有着广泛的应用。 一、实验目的 1.了解交流电桥的平衡原理及配置方法. 2.自组交流电桥测量电感、电容及损耗. 3.学习使用数字电桥测量电阻、电感和电容. 二、仪器与用具 低频信号发生器,交流毫伏表,交流电阻箱,可调标准电容箱(例如RX7-0型),待测电容,电感线圈,电阻,数字电桥,开关等. 实验原理 1.交流电桥平衡条件 交流电桥是对比直流电桥的结构而发展出来的,它在测量电路组成上与惠斯通电桥相似,如图28-1所示,电桥的四个臂,,,通常是复阻抗(可以是电阻、电容、电感或它们的组合),间接交流电源,间接交流平衡指示器(毫伏表或示波器等). 电桥平衡时,、两点等电位,由此得到交流电桥的平衡条件: = (28.1) 利用交流电桥测量未知阻抗 (=)的过程就是调节其余各臂阻抗参数使(28.1)式满足的 过程.一般来说,包含二个未知分量,实际上按 复阻抗形式给出的平衡条件相当于两个实数平衡 条件,电桥平衡时它们应同时得到满足,这意味 着要测量,电桥各臂阻抗参数至少要有两个可 调,而且各臂必须按电桥的两个平衡条件作适当 配置. 图28—1 2.桥臂配置和可调参数选取的基本原则 在多数交流电桥中,为了使线路结构简单和 实现“分别读数”(即电桥的两个可调参数分别 只与被测阻抗的一个分量有单值的函数关系),常把电桥的两个臂设计成纯电阻(统称为辅助臂),这样,除被测x Z ~ 外只剩一个臂具有复阻抗性质,此臂由标准电抗元件(标准电感或标准电容 )与一个可调电阻适当组合而成(称为比较臂),在这样的条件下,由交流电桥的平衡条件得到桥臂配置和可调参数选取的基本原则. (1)当比较臂与被测臂阻抗性质相同(指同为电感性或电容性),二者应放在相邻的桥臂位置上;反之,应放在相对的桥臂位置上. (2)若取比较臂的两个阻抗分量作可调参数,则当比较臂阻抗分量的联接方式(指串联或并联)与被测臂等效电路的联接方式一致时,二者应放在相邻的桥臂位置;反之,就放在相对的桥臂位置. (3)当缺乏可调标准电抗元件或需要采用高精度固定电抗元件作为标准量具时,则选取辅助臂和比较臂所含电阻中的两个作为可调参数使电桥趋于平衡.(此时一般不能分别读

相关文档
最新文档