温度测量与控制电路

温度测量与控制电路
温度测量与控制电路

《电子技术》课程设计报告

题目温度测量与控制电路

学院(部)电子与控制工程学院

专业建筑设施智能技术

班级32060801

学生姓名孙文涛

学号3206080108

6 月12 日至6 月22日共1.5周

指导教师(签字)

温度测量与控制电路广泛应用于生产生活中的各个方面,特别是在工业生产中,温度自动控制已经成为一个相当成熟的技术。本次课程设计给我们创造了良好的学习机会:一是查阅资料将自己所学的数字电子技术,模拟电子技术,以及传感器的相关知识综合运用,二是系统了解温度监测特别是工业上的温度控制的详细过程,为日后的学习和工作增长知识,积累经验。

在确定课设题目,经仔细分析问题后,实现温度的测量与控制方法很多,大致可以分为两大类型,一种是以单片机为主的软硬件结合方式,另一种是用简单芯片构成实现电路。由于单片机知识的匮乏,我们决定用后者实现。共同确定了总的电路结构,将设计分为三部分,陈涛负责温度传感部分,孙文涛负责温度显示和温度范围控制部分,张晓阳负责温度控制执行电路和声光报警部分。温度传感部分由热电偶构成的温度传感器,数字显示和设定控制部分由模数转换器AD574A、281024 CMOS EEPROM、锁存器74LS175等组成,声光报警和温控加热降温执行电路主要用时基芯片555构成的多谐振荡器和单稳态电路组成。在确定了单元电路的设计方案后,我们在总结出总体方案框图的基础上,应用Multisim11.0仿真软件画出了各单元模块电路图,最后汇总电路图。

由于缺少实践经验,并且知识有限,所以本次设计中难免存在缺点和错误,敬请老师批评指正。

设计者

2010年6月20日

课题名称 (1)

摘要 (1)

关键词 (1)

设计要求 (1)

正文 (1)

一、系统概述和总体方案论证与选择 (1)

二、单元电路设计 (2)

(一)温度传感模块 (3)

(二)数字显示与温度范围控制模块 (3)

1、方案的论证与选择 (3)

2、AD转换与解码 (8)

3、译码显示 (10)

4、控制温度设定 (11)

5、温度超限判断 (12)

6、多路温度循环检测功能 (13)

7、方案的优点与缺点以及改进 (14)

(三)声光报警 (15)

(四)温度控制执行 (15)

三、总体电路图 (16)

四、结束语 (16)

五、参考文献 (17)

六、元器件明细 (17)

七、收获体会 (27)

八、鸣谢 (27)

附录 (27)

教师评语 (28)

【课题名称】温度测量与控制电路

【摘要】

温度测量与控制电路是在实际应用中相当广泛的测量电路。本次设计主要运用基本的模拟电子技术和数字电子技术的知识,从基本的单元电路出发,实现了温度测量与控制电路的设计。总体设计中的主要思想:一、达到设计要求;二、尽量应用所学知识;三、设计力求系统简单可靠,有实际价值。温度传感采用热电偶和温度补偿原理。AD转换部分使用集成芯片AD574A;二进制到8421BCD码的转换用EEPROM 281024实现;显示译码部分用74LS48和数码管实现;温度控制范围设定采用数字设定方式,用74LS160十进制加计数器和锁存器74LS175实现;温度的判断比较数值比较器74LS85的级联实现;通过使用74LS160和ADG508F实现了多路温度循环监测功能。声光报警加入了单稳态。温度控制执行部分采用555构成的单稳态电路,提高了加热系统与降温系统的稳定性和实用性。

【关键词】:温度传感器A/D转换控制温度声光报警二进制转BCD 译码显示

【设计要求】

1. 测量温度范围为200C~1650C,精度 0.50C;

2. 被测量温度与控制温度均可数字显示;

3. 控制温度连续可调;

4. 温度超过设定值时,产生声光报警

【正文】

一、系统概述和总体方案论证与选择

方案A.

如图1-1所示,温度传感器部分将温度线性地转变为电压信号,经过滤波放大,一路输入A/D 转换电路,经过译码进行数字显示,另一路与滑变分压经过电压比较器进行比较输出高低电平指示信号,温度控制执行模块和声光报警部分。

图1-1 总体设计方案A框图

方案B.

如图1-2所示,温度传感和A/D转换,译码显示,温控执行和报警均与方案A相同,不同处在于控制温度设定方式和温度超限判断方式。方案A的超限判断模块和控制温度设定主要使用模拟信号,该方案易受外界干扰如使用环境温度等因素,另外由滑变设定温度不易调节精确,实际中,若采用电池供电,电源电压的变化会影响其温控范围的准确性。方案B主要采用数字芯片逻辑控制实现,其工作的稳定性准确性和功能扩展性较强。

图1-2 总体设计方案B框图

二、单元电路设计

(一)温度传感模块

如图2-1-1所示,温度传感把模块把温度大小转化为电压信号,传入数字显示与温度范围控制模块。使用时将热电偶的热端(工作端)放入被测量的环境中,注意连接导线选用阻值受温度影响小的材料,且有良好的绝缘材料包裹。长时间使用后可对电路进行校准,在标准温度下,测量输出电压值,并通过调整滑动变阻器进行校准。其输出电压U o(V)和温度T(℃)的关系式为U o=0.02384*T。

图2-1-1 温度传感模块电路图

(二)数字显示与温度范围控制模块

1、方案的论证与选择

经分析,数字显示与温度范围控制模块的核心主要有两部分:

(1)A/D转换和码制转换部分

(2)温度范围设定与温度超限行为判断部分

每部分分别有两种方案:

(1)A/D转换部分

方案A.

首先要把温度传感器的电压信号转换成频率不同的矩形波信号。如图2-2-1所示,电压/频率转换电路由一只运算放大器和一只555以及少量电阻和电容组成,运算放大器部分作成差分积分电路,同相输入端是由555的3脚输出端反馈加来的,由于555的触发电平是1/3V CC,因此当输入电压信号i U在1/2V CC 内变化时,该电路的输入电压U i和输出的矩形波的频率0f具有良好的线性关系(由于该方案最终未被采纳,只给出V/F对应关系表作为参照,见表1,该电路的具体原理和U i~0f线性关系的详细计算和在此不再赘述)。

图2-2-1 555和差分积分放大电路构成的V/F转换器

V/F转换关系对应表表1

R3 220kΩ22kΩ10kΩ5KΩ

C3 1μF 0.1μF 2μF 200pF

R1,R2 1MΩ220kΩ100k 10kΩ

C1,C2 2μF 0.1μF 2nF 300pF

频率/电压1Hz/V 100Hz/V 10kHz/V 100kHz/V

V/F转换器输出的频率不同的矩形波信号要转化成可数字显示的BCD码,如图2-2-2所示,频率

---8421BCD码的转换由4片同步十进制加法器74LS160实现,第(1)片74LS160的R CO进位输出接第(2)片的CLK时钟信号输入端,当第(1)片74LS160计数器进位时,第(2)片74LS160加1,第(2)片再向第(3)片74LS160进位,以此类推,4组Q D Q C Q B Q A分别为百位、十位、个位、小数位,分别经过4片锁存器74LS175,接到4片七段数字显示译码器74LS48,再连接数码管即可实现温度的十进制数显示。

图2-2-2 频率信号转BCD码

把4片锁存器74LS175的CLK时钟端接在一起,引出节点①。4片74LS160的清零端接在一起,引出节点②。V/F转换器的频率信号经过图2-2-4中的与门“U2A”进入③。节点①和②的波形关系需满足如图2-2-3所示关系,即满足“先锁存,后清零”,这样,在“计数开始”(②的上升沿)到“锁存器状态翻转”(①的上升沿)的这段时间t内,通过的波形周期数就等于数码管上显示的数字。时间t的大小可以通过调节图2-2-4中的R、C参数来调整,t =0.7R2C1≈0.49s,使其在这段时间内恰巧通过一定的周期数,就可以使计数器计数到该温度并显示出来。比如,当温度为10.0℃时,V/F转换电路产生一定频率的矩形波,在指定的时间t内,使其恰巧通过100个完整波形,四片计数器的输出状态为0000 0001 0000 0000,即可译码显示为“10.0”代表10.0℃

图2-2-3 方案A中节点①和②的波形关系

该波形由图2-2-4所示电路产生。电容C3起到消除竞争与冒险的作用,没有C3,可能使锁存器锁入数据0000 0000 0000 0000,数码管上会显示0.0 (℃)。并引起错误报警,和温控执行电路的不合理启动。

图2-2-4 方案A 清零信号,计数信号,锁存信号产生电路

综上所述,该电路工作过程复杂,虽然理论上可行,也通过了在Multisim中的模拟检测,但是在实际中,图2-2-4中的RC参数也都会受到温度影响,造成测量温度不准确,如果电容C3短路,更可能造成误报警和错误加热操作的不良后果。另外,该电路的功耗太大,不利于实际应用。所以,最终放弃了该方案。

方案B

采用集成芯片AD574A 作为模数转换芯片,AD574A 是美国模拟数字公司(Analog )推出的单片高速12位逐次比较型A/D转换器,内置双极性电路构成的混合集成转换显片,具有外接元件少,功耗低,精度高等特点,并且具有自动校零和自动极性转换功能,只需外接少量的阻容件即可构成一个完整的A/D 转换器。AD574A可以把电压信号转换成二进制数,但是二进制数并不能直接在数码管上显示,所以

AD574A输出的二进制数到8421BCD码的转换成为该方案的核心问题,经过查阅大量资料,最终决定采用281024 CMOS EEPROM实现二进制到8421BCD码的,其电路连接简单,转换效率高,功耗低,出错率低。

综上所述,B方案工作稳定性强,不易出错,所以采用B方案。具体电路和实施方案见后文“2.AD 转换与解码”。AD574A的详细资料见“元器件明细”。

(2)控制温度设定与温度超限行为判断部分有两种方案:

A.如图2-2-5所示,通过旋动滑动变阻器获得不同的分压代表相应的的温度值,分压一路通过AD转换显示设定温度,一路经过电压比较器和温度传感模块输出的Ui进行比较,输出高低电平指示信号控制报警和温控执行模块。该方式虽然简便可行,但其受外界环境干扰较大,当实际温度在控制温度附近时,有可能由于其他干扰比如滑动变阻器上的电压若有电池提供,其输出电压会随着使用时间的增加而变化,无人值守时,设定的温度会随着电池电压的减小而变化,达不到理想的温控效果,温度控温精度并不高。

图2-2-5 控制温度设定与温度超限行为判断方案A思路框图

B.采用数字设定方式。如图2-2-6所示:通过计数器精确设定温控范围,以8421BCD码的形式保存到锁存器中,经过级联的数值比较器与EEPROM输出的代表温度的8421BCD码进行比较。来判定温度是否超限,由数值比较器输出高低电平作为指示信号控制报警和温控执行电路。

综上所述,B方案温度设定简单方便,控制更加精确,工作稳定性更好。所以采用B方案。

图2-2-6 控制温度设定与温度超限行为判断方案B思路框图

B方案的具体实施方案和具体电路图见后文“4、控制温度设定”“5、温度超限判断”部分

最终确定的总体思路框图如图2-2-7:

图2-2-7 最终确定总方案框图

2、AD转换与解码

AD转换:

如图2-2-8所示电路,为提高精度,采用AD574A的12位转换模式,其与温度传感器部分的连接方法是:AGND端接,传感器部分的模拟地端,U i接传感器的输出电压。REF IN端为参考电压输入(通过调节滑动变阻器0~10V可调,用于校准。)

当输出的二进制码为1111 1111 1111,换算为十进制数是4095,经过码制转换后,在数码显示管上即显示数字409.5。代表409.5℃。

由于传感器部分的输出电压U i满足,U i=kT (T代表温度,单位:℃)即满足k V/℃

所以参考电压U REF IN的取值需要满足U REF IN=k*409.5,

U REF IN的可以通过图2-2-8中所示滑动变阻器R1调节。

R/C端由555和少量阻容元件构成的多谐振荡器,R6=140kΩ,R7=3kΩ,C5=10μF

555的3脚为输出端,其高电平持续时间T1=0.7(R6+R7)C5≈1s,低电平持续时间T2=0.7(R7C5)≈21ms。输出低电平时,AD574A处于转换状态,转换时间需要约25μs,T2>>25μs,所以转换器有充分的时间进行转换,保证了转换数据的准确性,满足要求。输出高电平时,在该电路中AD574A处于12位数据并

行读取状态,其转换的二进制数据被传送到EEPROM中。

码制的转换——12位二进制数转8421BCD码:

通过对电可擦写只读存储器(EEPROM)281024进行编码,实现二进制数码到BCD码的变换。即把4096个温度值的二进制数据位当作源码作为存储器EEPROM的地址码,把需要转换的8421BCD码作为“目的”码写入地址对应的存储器EEPROM内部单元。使用时,当AD转换器采集到不同电压信号时,把转换后的二进制码迭到EEPROM的地址位,那么与此地址相对应的输出数据就是所求的8421BCD码格式,从而完成了4096个二进制码温度值到8421BCD码的转换。该4096个温度值的数字解码器是四位数显示,所以选用有16个位线的281024 EEPROM,实际中,也可根据制造的成本视情况选择两片8个位线的EEPROM(如:27C32)进行位线扩展,扩展成16位。

低12位A0~A11接对应的AD574A的二进制输出端,高4位A12~A15均接地。D0~D3,D4~D7,D8~D11,D12~D15分别输出小数位、个位、十位、百位的8421BCD码。接到译码显示模块74LS48即可把BCD码转换成七段a~g显示驱动信号,在LED数码管上进行十进制显示。

存储器281024地址和数据对应写入单元数据如表2:

表2 存储器281024地址和数据对应写入单元数据温度地址编码BCD编码

000.0 0000 0000 0000 0000 0000 0000 0000 0000

000.1 0000 0000 0000 0001 0000 0000 0000 0001

000.2 0000 0000 0000 0010 0000 0000 0000 0010

...... ...... ......

409.5 0000 1111 1111 1111 0100 0000 1001 0101

281024的详细工作参数见“元器件明细”。

图2-2-8 AD转换与二进制转8421BCD码电路图

3、译码显示

百位、十位、个位、小数位共4组16位8421BCD码依次输入4片74LS48即可把BCD码转换成七段a~g显示驱动信号,在LED数码管上进行十进制显示。接法如图2-2-9所示,

U4、U5、U7为7段数码管,U6为8段数码管,4个数码管共阴极均接地。U6的h脚通过180Ω电阻接+5V

电源,显示小数点。这样,温度值即可在数码管上十进制显示。

图2-2-9译码显示电路图

4、控制温度设定

如图2-2-10所示,温度设置装置由4片十进制加法计数器74LS160构成,且均处于计数状态。4个CLK时钟端均分别接一个按钮式开关,其弹起时接+5V高电平,按下时接地处于低电平,当进行设置时,“启动温度设置”处于高电平(有专门开关控制,图中未画出,见总电路图),通过按动开关即可手动使计数器计数,控制百位、十位、个位、小数位的数字。其数据输出端共有两个去向,去向1:接译码显示电路即可实时显示设定数值的变化,与门7408的作用是控制计数器的数据输出,“启动温度设置”处于高电平时,计数器数据可以输出到译码器;“启动温度设置”处于低电平时,阻断了计数器数据向译码显示电路输出。

去向2:接锁存器。图中共有8片4位锁存器74LS175,每4片为一组分别储存温度上限和温度下限的8421BCD码。记录上限的4片锁存器的CLK时钟端和记录下限的4片锁存器的CLK时钟端分别接在一起引向两个按钮式开关,一个是“锁定温度上限”按钮,另一个是“锁定温度下限”按钮。开关常态接地,按下时接+5V高电平,锁存器锁入数据。锁存器的输出端接数值比较器,比较实际温度和设定值

的大小关系。

图2-2-10 控制温度设定装置电路图

5、温度超限判断

如图2-2-11所示,共有8片数值比较器74LS85(其功能表见附录),(1)~(4)片级联用于比较监测温度和设定的温度上限的大小,(5)~(8)片用于比较监测温度和设定的温度下限的大小。其级联方式和数据输入方式如图所示:

当测量温度低于设定的温度下限时,(5)片的OALTB(A

当测量温度高于设定的温度上限时,(4)片的OAGTB(A>B)端输出高电平启动报警电路和降温电路,高温报警指示灯发出红光。

两个与门U10A和U17A的作用是控制后续的温控执行和报警电路的开启或关闭。

或门U9A的作用是将高温超限报警信号和低温超限报警信号进行或运算。当有其一超限时,就会启

动报警电路。

图2-2-11 温度超限判断电路图

6、多路温度循环检测功能

如图2-2-12所示:由555和阻容元件构成的多谐振荡器,其产生的矩形波周期可可通过改变滑动变阻器A调节(5s~10s),其输出接74LS160的CLK时钟端,图中74LS160处于计数状态,其低三位Q C Q B Q A 依次产生000,001,010,011,100,101,110,111八个状态,一路输入模拟开关ADG508F的地址端A2A1A0,这样,模拟开关将会依次导通S1~S8,从D端输出到模数转换器。其通道切换频率由时钟信号频率决定。实现了8路温度的循环监测。另一路输入译码显示电路,显示当前所监视的线路。

图2-2-12 多路温度检测功能电路图

7、方案的优点与缺点以及改进

(1)图2-2-10中的各与门都是起控制信号通断的作用,不如将其替换为模拟开关(如图22-2-13)实现信号的切断和导通,并且省去了与译码显示部分连接的或门(单元图中未画出,详见总电路图),使电路结构进一步简化,变得更可靠,使性能更加稳定,功耗更低。

(2)由555和阻容元件组成的多谐振荡器可以采用集成芯片实现,由于555的功耗相对较大,采用集

成芯片更有利于节省电能,延长使用时间。

图2-2-13 模拟开关电路

该模块的最终整合电路图见附录1

(三)声光报警

如图2-3-1所示,当输入信号为低电平时,报警电路不工作。当有高电平信号输入时,模拟开关闭合,多谐震荡电路开始工作。发光二级管闪烁,并发出蜂鸣报警。报警时蜂鸣的频率和发光二极管闪烁的频率均为2Hz,作用的占空比为58.3%。

图2-3-1 声光报警电路图

(四)温度控制执行

如图2-4-1所示,温控执行电路由当输入信号为低电平时,加热或降温电路不工作。当有高电平信号输入时,加热电路进入暂稳态,3脚输出高电平,继电器吸合,启动加热降温设备进行加热和降温操作。1~10分钟后(可根据实际情况通过滑动变阻器R3,R4调整),若温度仍低于或高于设定温度,电路不能复位,3脚仍输出高电平,加热或降温操作继续进行。若温度回到设定范围内,电路即复位回到稳定态,3脚输出低电平,继电器断开,加热或降温操作停止。

图2-4-1 加热降温执行电路图

三、总体电路图

限于篇幅和纸张大小,见附录2

四、结束语

本次温度测量与控制电路的设计主要内容如上所述,在此次设计中运用到的知识大多数为课本所学。设计中难免有缺点和漏洞,真诚希望老师指导,以求改进。

本次设计中有如下几个难点:

一是12位的A/D转换电路,在这一部分的设计中我们查阅了大量资料,最后决定用AD574A模数转换器,这样以来不仅实现对于模拟信号的数字转换,同时也提高了精度的要求。

二是如何将12位二进制数转换成8421BCD码的问题,经过查阅大量资料并研究决定用EEPROM电可擦写存储器来完成这个功能,具体电路图和转换关系如上所述。

三是温度的设定和朝鲜判断,技术要求中提到输入温度连续可调,我们开始拟采用滑动变阻器控制,使滑动变阻器的分压参与电路中的信号处理,由于其种种缺点,最终放弃了该方案。使用计数器、寄存器、数值比较器、译码显示装置、实现了将控制温度直接以直观的数字量直接输入,并以8421BCD码的形式输入数值比较器进行温度判定,也实现了输入温度的连续可调,而且极大地提高了准确度、直观性

和易用性。

以上即是对本次设计中的主要问题的讨论与解决方案,敬请老师给予指正,以求得更好的解决方法。

五、参考文献

1.《传感器原理与应用》程德福王君凌振宝王言章编著

机械工业出版社2008年1月第一版2.《数字电路设计手册》荀殿栋徐志军编著

电子工业出版社2003年7月第一版3.《Multisim8仿真与应用实例开发》从宏寿程卫群李绍鉊编著

清华大学出版社2007年7月第一版4.《电子线路设计与实践》姚福安主编

华中电子科技大学出版社2001年第一版5.《基础电子电路设计与实践》戴伏生主编

国防工业出版社2004年4月第一版6.《模拟电子技术基础》陈大钦主编

机械工业出版社2006年4月第一版7.《数字电子技术》James Bignell Robert Donovan 编著

科学出版社2005年2月第一版8.《新编电子控制电路300例》刘修文等编

机械工业出版社9.《数字单元电路转换电路分册》梁廷贵主编

科学技术文献出版社

六、元器件明细

序号元器件型号名称标称值或功能数量

1 AD574A AD转换器 1

2 281024 CMOS EEPROM(65535x16) 1

3 7408J 与门9

4 7432N 或门 5

PT100温度传感器测量电路

PT100温度传感器测量电路 温度传感器PT100是一种稳定性和线性都比较好的铂丝热电阻传感器,可以工作在 -200℃ 至650℃ 的范围.本电路选择其工作在 -19℃ 至500℃ 范围。 整个电路分为两部分,一是传感器前置放大电路,一是单片机 A/D 转换和显示,控制,软件非线性校正等部分。 前置放大部分原理图如下: 工作原理: 传感器的接入非常简单,从系统的 5V 供电端仅仅通过一支 3K92 的电阻就连接到 PT100 了.这种接法通常会引起严重的非线性问题,但是.由于有了单片机的软件校正作为后盾,因此就简化了传感器的接入方式. 按照 PT100 的参数,其在0℃ 到500℃ 的区间内,电阻值为 100 至280.9Ω,我们按照其串联分压的揭发,使用公式:Vcc/(PT100+3K92)* PT100 = 输出电压(mV),可以计算出其在整百℃时的输出电压,见下面的表格:

单片机的 10 位 A/D 在满度量程下,最大显示为 1023 字,为了得到PT100 传感器输出电压在显示 500 字时的单片机 A/D 转换输入电压,必须对传感器的原始输出电压进行放大,计算公式为:(500/1023 * Vcc)/传感器两端电压( mV/℃ ) ,(Vcc=系统供电=5V),可以得到放大倍数为10.466 。 关于放大倍数的说明:有热心的用户朋友询问,按照 (500/1023 * Vcc)/传感器两端电压不能得到 10.466 的结果,而是得到 11.635的结果。实际上,500 个字的理想值是无法靠电路本身自然得到的,自然得到的数字仅仅为 450 个字,因此,公式中的500℃ 在实际计算时的取值是 450 而不是 500 。450/1023*5/(0.33442-0.12438)≈10.47 。其实,计算的方法有多种,关键是要按照传感器的mV/℃ 为依据而不是以被测温度值为依据,我们看看加上非线性校正系数:10.47*1.1117=11.639499 ,这样,热心朋友的计算结果就吻合了。 运算放大器分为两级,后级固定放大 5 倍(原理图中 12K/3K+1=5),前级放大为:10.465922/5=2.0931844 倍,为了防止调整时的元器件及其他偏差,使用了一只精密微调电位器对放大倍数进行细调,可以保证比较准确地调整到所需要的放大倍数(原理图中 10K/(8K2+Rw)+1)。

温度检测与控制实验报告材料

实验三十二温度传感器温度控制实验 一、实验目的 1.了解温度传感器电路的工作原理 2.了解温度控制的基本原理 3.掌握一线总线接口的使用 二、实验说明 这是一个综合硬件实验,分两大功能:温度的测量和温度的控制。 1.DALLAS最新单线数字温度传感器DS18B20简介 Dallas 半导体公司的数字化温度传感器DS1820是世界上第一片支持“一线总线”接口的温度传感器。现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。与前一代产品不同,新的产品支持3V~5.5V的电压围,使系统设计更灵活、方便。 DS18B20测量温度围为 -55°C~+125°C,在-10~+85°C围,精度为±0.5°C。DS18B20可以程序设定9~12位的分辨率,及用户设定的报警温度存储在EEPROM中,掉电后依然保存。 DS18B20部结构 DS18B20部结构主要由四部分组成:64位光刻ROM、温度传感器、非挥发的温度报警触发器TH和TL、配置寄存器。DS18B20的管脚排列如下: DQ为数字信号输入/输出端;GND为电源地;VDD为外接供电电源输入端(在寄生电源接线方式时接地)。 光刻ROM中的64位序列号是出厂前被光刻好的,它可以看作是该DS18B20的地址序列码。64位光刻ROM的排列是:开始8位(28H)是产品类型标号,接 着的48位是该DS18B20自身的序列号,最后8位是前面56位的循环冗余校验 码(CRC=X8+X5+X4+1)。光刻ROM的作用是使每一个DS18B20都各不相同,这样 就可以实现一根总线上挂接多个DS18B20的目的。 DS18B20中的温度传感器可完成对温度的测量,以12位转化为例:用16位符号扩展的二进制补码读数形式提供,以0.0625℃/LSB形式表达,其中S为符号位。 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 232221202-12-22-32-4 Bit15 Bit14 Bit13 Bit12 Bit11 Bit10 Bit9 Bit8 S S S S S 262524这是12位转化后得到的12位数据,存储在18B20的两个8比特的RAM中,二进制中的

智能型温度测量控制系统

河北农业大学 毕业论文﹙设计﹚开题报告 题目智能型温度测量控制系统-开题报告 学生姓名学号 所在院(系)信息工程学院 专业班级通信工程2010140 指导教师 2014年02月23日

题目基于单片机的温度控制系统设计 一、选题的目的及研究意义 温度的测量及控制对保证产品质量、提高生产效率、节约能源、生产安全、促进国民经济的发展起到非常重要的作用,是工业对象中主要的被控参数之一。在单片机温度测量系统中的关键是测量温度、控制温度和保持温度。在日常生活中,也可广泛实用于地热、空调器、电加热器等各种家庭室温测量及工业设备温度测量场合。随着微机测量和控制技术的迅速发展与广泛应用,以单片机为核心的温度采集与控制系统的研发与应用在很大程度上提高了生产生活中对温度的控制水平。近年来,温度的检测在理论上发展比较成熟,但在实际测量和控制中,如何保证快速实时地对温度进行采样,确保数据的正确传输,并能对所测温度场进行较精确的控制,仍然是目前需要解决的问题。这次毕业设计选题的目的主要是让生活在信息时代的我们,将所学知识应用于生产生活当中,掌握系统总体设计的流程,方案的论证,选择,实施与完善。通过对温度控制通信系统的设计、制作、了解信息采集测试、控制的全过程,提高在电子工程设计和实际操作方面的综合能力,初步培养在完成工程项目中所应具备的基本素质和要求。培养研发能力,通过对电子电路的设计,初步掌握在给定条件和要求的情况下,如何达到以最经济实用的方法、巧妙合理地去设计工程系统中的某一部分电路,并将其连接到系统中去。提高查阅资料、语言表达能力和理论联系实际的技能。 当今社会温度的测量与控制系统在生产与生活的各个领域中扮着越来越重要的角色,大到工业冶炼,物质分离,环境检测,电力机房,冷冻库,粮仓,医疗卫生等方面,小到家庭冰箱,空调,电饭煲,太阳能热水器等方面都得到了广泛的应用,温度控制系统的广泛应用也使得这方面研究意义非常的重要。 二、综述与本课题相关领域的研究现状、发展趋势、研究方法及应用领域等 国外对温度控制技术研究较早,始于20世纪70年代。先是采用模拟式的组合仪表,采集现场信息并进行指示、记录和控制。80年代末出现了分布式控制系统。目前正开发和研制计算机数据采集控制系统的多因子综合控制系统。现在世界各国的温度测控技术发展很快,一些国家在实现自动化的基础上正向着完全自动化、无人化的方向发展。我国对于温度测控技术的研究较晚,始于20世纪80年代。我国工程技术人员在吸收发达国家温度测控技术的基础上,才掌握了温度室内微机控制技术,该技术仅限于对温度的单项环境因子的控制。我国温度测控设施计算机应用,在总体上正从消化吸收、简单应用阶段向实用化、综合性应用阶段过渡和发展。在技术上,以单片机控制的单参数单回路系统居多,尚无真正意义上的多参数综合控制系统,与发达国家相比,存在较大差距。我国温度测量控制现状还远远没有达到工厂化的程度,生产实际中仍然有许多问题困扰着我们,存在着装备配套能力差,产业化程度低,环境控制水平落后,软硬件资源不能共享和可靠性差等缺点。在今后的温控系统的研究中会趋于智能化,集成化,系统的各项性能指标更准确,更加稳定可靠。应用领域非常的广泛,①冷冻库,粮仓,储罐,电信机房,电力机房,电缆线槽等测温和控制领域。 ②轴瓦,缸体,纺机,空调等狭小空间工业设备测温和控制。③汽车空调,冰箱,冷柜以及中低温干燥箱等。④太阳能供热,制冷管道热量计量,中央空调分户热能计量等。温度是一种最基本的环

温度检测电路

第1章绪论 1.1 引言 温度检测在自动控制系统电路设计中的使用是相当广泛的,系统往往需要针对控制系统内部以及外部环境的温度进行检测,并根据温度条件的变化进行必要的处理,如:补偿某些参数、实现某种控制和处理、进行超温告警等。因此,对所监控环境温度进行精确检测是非常必要的,尤其是一些对温度检测精度要求很高的控制系统更是如此。良好的设计可以准确的提取系统的真实温度,为系统的其他控制提供参考;而相对不完善的电路设计将给系统留下极大的安全隐患,对系统的正常工作产生非常不利的影响。本文结合实践经验给出两种在实际应用中验证过的设计方案。 1.2 设计要求 1.确定设计方案画出电路图 2.完成所要求的参数计算 3.对电路进行焊接与组装 4.对电路进行调试 5.写出使用说明书 1.2.1 设计题目和设计指标 设计题目:温度检测电路 技术指标:1. 量程:0-30摄氏度 2. 两位数码管显示 1.2.2 设计功能 1. 温度检测

2. 信号调理 3. 数码显示 1.2.3 硬件设计 1.传感器可选择LM35(因为热敏电阻的精度不高)。 2.模数转换,译码可选择集成芯片ICL7107芯片。 3.显示电路可以选择数码管三位显示室温。 1.3 需要做的工作 1.器件选型 2.原理图绘制 3.各个流程设计 4.仿真之后做出实物

第2章电路的方框图 2.1 数字温度计电路原理系统方框图 数字温度计电路原理系统方框图,如图1-1所示。 图1-1 电路原理方框图 2.2 方框图工作流程介绍 通过温度传感器采集到温度信号,经过放大电路送到A/D 转换器,然后通过译码器驱动数码管显示温度。在温度采集过程中我们选择多种传感器进行比较,但我们最终选择LM35温度传感器,因为它校准方式简单,使用温度范围适中。在A/D转换和译码的过程中,我们选择了ICL7107芯片,因为他集模数转换与译码器于一体,使得外围电路简单,易于焊接,而且抗干扰能力强。

温度测量控制系统的设计与制作实验报告(汇编)

北京电子科技学院 课程设计报告 ( 2010 – 2011年度第一学期) 名称:模拟电子技术课程设计 题目:温度测量控制系统的设计与制作 学号: 学生姓名: 指导教师: 成绩: 日期:2010年11月17日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、总体设计思想 (3) 四、系统框图及简要说明 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (5) 七、仿真结果 (8) 八、实测结果分析 (9) 九、心得体会 (9) 附录I:元器件清单 (11) 附录II:multisim仿真图 (11) 附录III:参考文献 (11)

一、电子技术课程设计的目的与要求 (一)电子技术课程设计的目的 课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 (二)电子技术课程设计的要求 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 (一)课程设计名称 设计题目:温度测量控制系统的设计与制作 (二)课程设计要求 1、设计任务 要求设计制作一个可以测量温度的测量控制系统,测量温度范围:室温0~50℃,测量精度±1℃。 2、技术指标及要求: (1)当温度在室温0℃~50℃之间变化时,系统输出端1相应在0~5V之间变化。 (2)当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。 输出端1电压小于3V并大于2V时,输出端2保持不变。 三、总体设计思想 使用温度传感器完成系统设计中将实现温度信号转化为电压信号这一要求,该器件具有良好的线性和互换性,测量精度高,并具有消除电源波动的特性。因此,我们可以利用它的这些特性,实现从温度到电流的转化;但是,又考虑到温度传感器应用在电路中后,相当于电流源的作用,产生的是电流信号,所以,应用一个接地电阻使电流信号在传输过程中转化为电压信号。接下来应该是对产生电压信号的传输与调整,这里要用到电压跟随器、加减运算电路,这些电路的实现都离不开集成运放对信号进行运算以及电位器对电压调节,所以选用了集成运放LM324和电位器;最后为实现技术指标(当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。输出端1电压小于3V并大于2V时,输出端2保持不变。)中的要求,选用了555定时器LM555CM。 通过以上分析,电路的总体设计思想就明确了,即我们使用温度传感器AD590将温度转化成电压信号,然后通过一系列的集成运放电路,使表示温度的电压放大,从而线性地落在0~5V这个区间里。最后通过一个555设计的电路实现当输出电压在2与3V这两点上实现输出高低电平的变化。

基于NTC热敏电阻的温度测量与控制系统设计(论文)

题目名称:基于NTC热敏电阻的温度测量与控 制系统设计 摘要:本系统由TL431精密基准电压,NTC热敏电阻(MF-55)的温度采集,A/D和D/A转换,单片机STC89C51为核心的最小控制系统,LCD1602的显示电路等构成。温度值的线性转换通过软件的插值方法实现。该系统能够测量范围为0~100℃,测量精度±1℃,并且能够记录24小时内每间隔30分钟温度值,并能够回调选定时刻的温度值,能计算并实时显示24小时内的平均温度、温度最大值、最小值、最大温差,且有越限报警功能。由于采用两个水泥电阻作为控温元件,更有效的增加了温度控制功能。 关键词: NTC TL431 温度线性转换 Abstract: The system is composed of TL431 as precise voltage,the temperature acauisition circuit with NTC thermistors (MF-55), the transform circuit of A/D and D/A, the core of the minimum control system with STC89C51, 1the display circuit usingLCD1602, etc. Get the temperature of the linear transformation by the software method. The range of the measure system is 0 ~ 100 ℃, measurement accuracy + 1 ℃.It can record 24 hours of each interval temperature by per 30 minutes selected of temperature.The time can be calculated and real-time display within 24 hours of the average temperature, maximum temperature and minimum temperature, maximum value, and each temperature sensor has more all the way limit alarm function. Due to the two cement resistance as temperature control components, the more effective increase the temperature control function. Keyword: NTC TL431 temperature linear conversion

热敏电阻温度测量电路

热敏电阻温度测量电路 下图是温度在0~50℃范围的测量电路。当温度为0℃时输出电压是0V ,温度为50℃时是5V 。他可以与电压表链接来测量温度,也可以连接AD 转换器变换为数字量,利用计算机之类进行测量。 1、工作原理 该电路由检测温度的热敏电阻和1个运算放大器电路,以及将0~50℃的温度信息变换为0~5V 电压的2个运算放大器电路构成。 热敏电阻检测温度时,利用热敏电阻TH R 与电阻3R 分压后的电压作为检测电压进行处理,在这里是利用运算放大器1OP 的电压跟随器电路提取的。输出电压的极性为正,随着温度的上升,热敏电阻的电阻值降低,所以输出电压也下降。 检出的信号加在1OP 和电阻~4R 7R 构成的差动放大电路的正输入端上,而加在负输入端上的是由8R 、9R 、1VR 对5V 分压后的电压,这部分是电压调整电路,可以在温度为0℃时将1OP 的输出电压调整为0V ,这样就可以输出与温度上升成比例的负电压。 2OP 的输出加在由3OP 构成的反转放大电路上被放大,放大倍数为—10211/)(R VR R +倍。调整2VR 可以使温度达50℃时3OP 的输出电压为+5V 。 通过调整1VR 和2VR ,可以在0℃时得到0V 的输出电压,50℃时得到5V 的输出电压,使输出电压与温度成比例。 2、设计 (1)温度测量范围以及输出电压、电源电压的确定:设定温度测量范围为0~50℃,这时的输出电压是0~5V 。电路使用的电源为±15V ,基准电压为5V 。 (2)热敏电阻和运算放大器的选定:这里使用NTC 型热敏电阻,选用25℃的电阻值为10K Ω,误差在±1%以内的NTH4G39A 103F02型,这种热敏电阻的常数为B=3900。 (3)补偿电阻3R 的确定:电阻3R 的作用是当热敏电阻的温度变化时,将相对应的输出电压的变化线性化。设线性化的温度范围是0~50℃,,那么补偿电阻3 R

基于单片机的温度检测与控制系统的设计(论文)开题报告

河南中医学院 本科生毕业设计(论文)开题报告 题目:基于单片机温度检测与控制系统设计 院系:信息技术学院 专业:计算机科学与技术 班级:2010级计科班 学号:2010180042 学生姓名:郭文珠 指导教师:谢志豪 2013年11月13日 一、立题依据(包括研究的目的与意义及国内外现状): 研究的目的与意义 这次毕业设计选题的目的主要是让我们将所学的知识应用与生活当中,掌握系统总体设计的流程,方案的论证,选择,实施与完善。通过对温度控制系统的设计、制作、控制、测试的全过程,提高对单片机的认识和实际操作的能力,初步培养在完成工程项目中所应具备的基本素质和要求,培养自己的研发能力,提高自己的查阅资料,语言表达和理论联系实际的能力。 温度控制无论在日常生活还是工业生产中都有分厂重要的作用,随着社会经济的高速发展,更多方面对温度控制的可靠性和稳定性有了更高的要求,而单片机进行温度的调节就具备很高的可靠性[1]。 国内外现状 国外对温度控制技术研究较早,始于20世纪70年代。先是采用模拟式的组合仪表,采集现场信息并行指进示、记录和控制。80年代末出现了分布式控制系统[2]。目前正开发和研制计算机数据采集控制系统的多因子综合控制系统。现在世界各国的温度测控技术发展很快,一些国家在实现自动化的基础上正向着完全自动化、无人化的方向发展[3]。我国对于温度测控技术的研究较晚,始于20世纪80年代。我国工程技术人员在吸收发达国家温度测控技术的基础上,才掌握了温度室内微机控制技术,该技术仅限于对温度的单项环境因子的控制。我国温度测控设施计算机应用,在总体上正从消化吸收、简单应用阶段向实用化、综合性应用阶段过渡和发展[4]。在技术上,以单片机控制的单参数单回路系统居多,尚无真正意义上的多参数综合控制系统,与发达国家相比,存在较大差距。我国温度测量控制现状还远远没有达到工厂化的程度,生产实际中仍然有许多问题困扰着我们,存在着装备配套能力差,产业化程度低,环境控制水平落后,软硬件资源不能共享可靠性差等缺点[5]。在今后的温控系统的研究中会趋于智能化,集成化,系统的各项性能指标更准确,更加稳定可靠。 二、研究主要内容(包括计划解决的具体问题或实现的基本功能,研究中的重难点分析、实用性及创新性分析,预期达到的成果等。不得低于800字): 计划实现的基本功能 温度控制系统主要是完成温度信号采集、处理、显示等功能[6]。设 计叙述了基于单片机的温度检测与控制系统的设计,包括硬件的设计以 及软件的设计,该系统在硬件设计上主要是通过温度传感器对温度进行 采集,把温度转成变化的电压,然后由放大器将信号放大,通过转化器

温度测量与控制电路

《电子技术》课程设计报告 题目温度测量与控制电路 学院(部)电子与控制工程学院 专业电子科学与技术 班级 学生姓名郭鹏 学号 13 指导教师(签字) 前言 随着数字时代的到来,人们对于温度的测量与控制的要求越来越高,用传统的水银或酒精温度计来测量温度,不仅测量时间长、读数不方便、精度不够高而且功能单一,已经不能满足人们在数字化时代的要求。于是我们提出,测温电路利用温度传感器监测外界温度的变化,通过放大器将温度传感器接收到的信号进行放大,放大到比较有利于我们测量的温度范围,然后利用A/D转换器实现模拟信号到数字信号的转换,最后通过编程让FPGA实现8位二进制数与BCD码之间的转化,实现温度的显示;并利用比较器来实现对放大电压信号的控制,从而实现对温度的控制;再者还加载了报警装置,使它的功能更加完善,使用更加方便。

本设计是采用了温度的测量、信号放大、A/D转换、温度的显示、温度的控制、报警装置六部分来具体实现上述目的。 目录 摘要与设计要求 (4) 第一章:系统概述 (5) 第二章:单元电路设计与分析 (5) 1) 方案选择 (5) 2)设计原理与参考电路 (6) 1 放大电路 (6) 2 低通滤波电路 (7) 3 温度控制电路 (8) 4 报警电路 (9) 5 A/D转换器 (10)

6 译码电路 (11) 第三章:系统综述、总体电路图 (14) 第四章:结束语 (15) 参考文献 (15) 元器件明细表 (15) 收获与体会,存在的问题等 (16) 温度测量与控制电路 摘要: 利用传感器对于外界的温度信号进行收集,收集到的信号通过集成运算放大器进行信号放大,放大后的信号经过A/D转换器实现模拟信号与数字信号间的转换,再通过FPGA编程所实现的功能将转换后的数字信号在数码管上显示出来,实现温度测量过程。放大的信号可以与所预定的温度范围进行比较,如果超出预定范围,则自动实现声光报警功能,实现温度控制过程。 关键字:温度测量温度控制信号放大 A/D转换声光报警 设计要求: 1. 测量温度范围为200C~1650C,精度 0.50C; 2. 被测量温度与控制温度均可数字显示; 3. 控制温度连续可调; 4. 温度超过设定值时,产生声光报警。

基于热电偶的温度测量电路设计

燕山大学 课程设计说明书题目:基于热电偶的温度测量电路设计 学院(系):电气工程学院 年级专业: 学号: 学生: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书院(系):电气工程学院基层教学单位:

说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 2011年6 月26 日燕山大学课程设计评审意见表

目录 第1章摘要 (2) 第2章引言 (2) 第3章电路结构设计 (2) 3.1 热电偶的工作原理 (2) 3.2 冷端补偿电路设计 (5) 3.3 运算放大器的设计 (6) 第4章参数设计及运算 (8) 4.1 补偿电路的计算 (8) 4.2 运算放大器的计算 (9) 4.3 仿真器仿真图示 (10) 心得体会 (12) 参考文献 (13)

第一章摘要 本文所要设计的是基于运算放大器的具有冷端补偿的热电偶测温。 所要设计包括三部分,热电偶,冷端补偿,运算放大器。热电偶选用的为K型热电偶,补偿采用是桥式补偿电路,运算放大器则用的是运放比例较大而输出阻抗比较小的仪器仪表放大器。 第二章引言 在工业生产过程中,温度是需要测量和控制的重要参数之一,在温度测量中,热点偶的应用极为广泛,它具有结构简单,制作方便,测量围广,精度高,惯性小和输出信号便于远传等许多优点。另外,由于热电偶是一种有源传感器,测量时不需外加电源,使用十分方便,所以常被用作测量炉子,管道的气体或液体的温度及固体的表面温度。热电偶作为一种温度传感器,热电偶通常和显示仪表,记录仪表和电子调节器配套使用。热电偶可以直接测量各种生产中从0℃到1300℃围的液体蒸汽和气体介质以及固体的表面温度。 第三章电路结构设计 3.1热电偶的工作原理 热电偶是一种感温元件,是一次仪表,它直接测量温度,并把温度信号转换成热电动势信号,通过电气仪表(二次仪表)转换成被测介质的温度。 热电偶测温的基本原理是两种不同成份的材质导体(称为热电偶丝材或热电极)组成闭合回路,当接合点两端的温度不同,存在温度梯度时,回路中就会有电流通过,此时两端之间就存在电动势——热电动势,这就是所谓的塞贝克效应。两种不同成份的均质导体为热电极,温度较高的一端为工作端(也称为测量端),温度较低的一端为自由端(也称为补偿端),自由端通常处于某个恒定的温度下。根据热电动势与温度的函数关系,制成热电偶分度表;分度表是自由端温度在0℃时的条件下得到的,不同的热电

基于单片机的温度测量控制系统设计

基于单片机的温度测量控制系统设计

目录 1引言 (2) 1.1问题的提出…………………………………………………………… (2) 1.1.1什么是温度控制…………………………………………………………… (2) 1.2设计目的…………………………………………………………… (2) 2设计方案 (3) 2.1硬件设计方案…………………………………………………………… (3) 2.2软件设计方案…………………………………………………………… (3) 3硬件设计 (5) 3.1主控制部分AT89S51的设计方案 (5) 3.2温度采集模块…………………………………………………………… (7) 3.3显示模块…………………………………………………………… (7) 4软件设计 (9) 4.1温度采集…………………………………………………………… (9) 4.2键盘输入…………………………………………………………… (10) 4.3 LCD显

示…………………………………………………………… (11) 5总结 (12) 6参考文献 (15) 附录1设计原理图 (14) 附录2设计程序 (15)

1引言 1.1问题的提出 温度是工业生产中主要的被控参数之一,与之相关的各种温度控制系统广泛应用于冶金、化工、机械、食品等领域。温度控制是工业生产过程中经常遇到的过程控制,有些工艺过程对其温度的控制效果直接影响着产品的质量,因而设计一种较为理想的温度控制系统是非常有价值的。 1.1.1什么是温度控制 温度控制系统由温控器和热电偶组成,热电偶检测温度并转换成电信号传给温控器,温控器根据所设定的温度发出控制信号,温度高于设定温度上限停止加热系统或开启降温系统,低于设定温度下线停止降温系统或开启加热系统。 1.2设计目的 本设计以AT89C51单片机为核心的温度控制系统的工作原理和设计方法。温度信号由温度芯片DS18B20采集,并以数字信号的方式传送给单片机。由键盘输入预设温度,比较实际环境温度与预设温度再由单片机做出相应的处理已以达到温度控制的目的。

pt100温度测量电路图(电子发烧友)

PT100与热敏电阻相反,热敏电阻温度越高电阻值越小 pt100温度测量电路,温度传感器PT100是一种稳定性和线性都比较好的铂丝热电阻传感器,可以工作在 -200℃ 至650℃ 的范围.本电路选择其工作在 -19℃ 至500℃ 范围. 整个电路分为两部分,一是传感器前置放大电路,一是单片机 A/D 转换和显示,控制,软件非线性校正等部分. 前置放大部分原理图如下: 工作原理: 传感器的接入非常简单,从系统的 5V 供电端仅仅通过一支 3K92 的电阻就连接到 PT100 了.这种接法通常会引起严重的非线性问题,但是.由于有了单片机的软件校正作为后盾,因此就简化了传感器的接入方式. 按照 PT100 的参数,其在0℃ 到500℃ 的区间内,电阻值为 100 至 280.9Ω,我们按照其串联分压的揭发,使用公式: Vcc/(PT100+3K92)* PT100 = 输出电压(mV),可以计算出其在整百℃时的输出电压,见下面的表格:

单片机的 10 位 A/D 在满度量程下,最大显示为 1023 字,为了得到 PT100 传感器输出电压在显示 500 字时的单片机 A/D 转换输入电压,必须对传感器的原始输出电压进行放大,计算公式为:(500/1023 * Vcc)/传感器两端电压( mV/℃ ) ,(Vcc=系统供电=5V),可以得到放大倍数为 10.466 。 关于放大倍数的说明:有热心的用户朋友询问,按照 (500/1023 * Vcc)/传感器两端电压不能得到 10.466 的结果,而是得到 11.635 的结果。实际上,500 个字的理想值是无法靠电路本身自然得到的,自然得到的数字仅仅为 450 个字,因此,公式中的500℃ 在实际计算时的取值是 450 而不是 500 。450/1023*5/(0.33442-0.12438)≈10.47 。其实,计算的方法有多种,关键是要按照传感器的mV/℃ 为依据而不是以被测温度值为依据,我们看看加上非线性校正系数:10.47*1.1117=11.639499 ,这样,热心朋友的计算结果就吻合了。 运算放大器分为两级,后级固定放大 5 倍(原理图中 12K/3K+1=5),前级放大为:10.465922/5=2.0931844 倍,为了防止调整时的元器件及其他偏差,使用了一只精密微调电位器对放大倍数进行细调,可以保证比较准确地调整到所需要的放大倍数(原理图中 10K/(8K2+Rw)+1)。 通常,在温度测量电路里,都会有一个“调零”和另一个“调满度”电位器,以方便调整传感器在“零度”及“满度”时的正确显示问题。本电路没有采用两只电位器是因为只要“零度”调整准确了,就可以保证整个工作范围的正确显示,当然也包括满度时的最大显示问题了。 那么,电路中对“零度”是如何处理的呢?它是由单片机程序中把这个“零度”数字直接减掉就是了,在整个工作范围内,程序都会自动减掉“零度”值之后再作为有效数值来使用。 当供电电压发生偏差后,是否会引起传感器输入的变化进而影响准确度呢?供电变化后,必然引起流过传感器的电流发生变化,也就会使传感器输出电压发生变化。可是,以此同时,单片机的供电也是在同步地接受到这种供电变化的,当单片机的 A/D 基准使用供电电压时,就意味着测量基准也在同步同方向发生变化,因此,只要参数选择得当,系统供电的变化在 20% 之内时,就不会影响测量的准确度。(通常单片机系统并不允许供电有过大的变化,这不仅仅是在温度测量电路中的要求。)

温度检测及控制电路

课程设计 课程名称测控电路课程设计_____ 题目名称温度检测及控制电路_ 学生学院信息工程学院_______ 专业班级 学号 学生姓名 指导教师 2014年1 月1日

广东工业大学课程设计任务书 题目名称温度检测及控制电路 学院信息工程学院 专业班级 测控技术与仪器专业 光机电一体化方向11(1-2) 姓名关汉记 学号3111002392 一、课程设计的内容 1、设计内容 (1)详细分析集成运算放大器构成的差动放大器工作原理及调零过程; (2)把测量得到的数据输入Matlab,用Matlab画出测温放大电路温度-电压关系曲线及比较器电压传输特性曲线; (3)详细分析电路中滞回比较器的电压传输特性对温控电路的作用和影响; (4)计算差动放大电路的电压放大倍数,计算所实现电路的滞回门限宽度; (5)详细分析测温电桥的工作原理; (6)分析如何设定温度控制点。 2、电路仿真 根据温度检测及控制电路工作原理,选用相应软件实现电路的仿真,并画出电路各点的信号波形,观察电桥输出、差动放大器输出及比较器输出信号随温度的变化趋势。 3、使用Protel绘制电路原理图,布局PCB板,使用热转印或者曝光方法制作电路板,根据系统原理图及所选择的元件及参数,购买相应元器件,完成电路焊接、调试。 二、课程设计的要求与数据 1、完成温度检测及控制电路的设计与制作; 2、讨论与分析,制作与调试,演示与答辩,提交设计报告。

三、课程设计应完成的工作 1、电路原理图设计; 2、电路工作原理分析; 3、电路参数计算与分析; 4、电路原理仿真; 5、电路制作、调试; 6、撰写设计报告; 7、实物演示与答辩。 四、课程设计进程安排 序号课程设计各阶段内容地点起止日期 1 布置设计安排;讲授设计内容;说明设计要求待定13.12.23 上午 2 方案设计、分析与比较实验楼 1-412 13.12.23 下午 3 确定方案和电路参数,理论计算、分析与仿真实验楼 1-412 13.12.24 4 绘制电路原理图;电路制作、调试;实验楼 1-412 13.12.25 5 撰写设计报告;实验楼 1-412 13.12.26 6 实物演示、答辩、成绩评定实验楼 1-412 13.12.27 五、应收集的资料及主要参考文献 1.张国雄等编。测控电路,机械工业出版社,2001.8. 2.赵负图主编,现代传感器集成电路,人民邮电出版社,2000.1. 3.刘征宇主编,线性放大器应用手册,福建科学技术出版社,2005.1. 4.蔡锦福等编,运算放大器原理与应用,科学出版社,200 5.7. 5.自编,测控电路设计型实验任务书. 发出任务书日期:2013年12月20日指导教师签名:计划完成日期: 2013年12月20日系主任签名:主管院长签名:

DS18B20温度测量与控制实验报告

课程实训报告 《单片机技术开发》 专业:机电一体化技术 班级: 104201 学号: 10420134 姓名:杨泽润 浙江交通职业技术学院机电学院 2012年5月29日

目录 一、DS18B20温度测量与控制实验目的…………………… 二、DS18B20温度测量与控制实验说明…………………… 三、DS18B20温度测量与控制实验框图与步骤…………………… 四、DS18B20温度测量与控制实验清单…………………… 五、DS18B20温度测量与控制实验原理图………………… 六、DS18B20温度测量与控制实验实训小结………………

1.了解单总线器件的编程方法。 2.了解温度测量的原理,掌握DS18B20 的使用。

本实验系统采用的温度传感器DS18B20是美国DALLAS公司推出的增强型单总线数字温度传感器。 Dallas 半导体公司的数字化温度传感器DS1820是世界上第一片支持“一线总线”接口的温度传感器。现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。与前一代产品不同,新的产品支持3V~5.5V的电压范围,使系统设计更灵活、方便。DS18B20测量温度范围为-55°C~+125°C,在-10~+85°C范围内,精度为±0.5°C。DS18B20可以程序设定9~12位的分辨率,及用户设定的报警温度存储在EEPROM中,掉电后依然 保存。 DS18B20 内部结构 DS18B20 内部结构主要由四部分组成:64 位光刻ROM、温 度传感器、非挥发的温度报警触发器TH 和TL、配置寄存器。 DS18B20 的管脚排列如下: DQ 为数字信号输入/输出端;GND 为电源地;VDD 为外接 供电电源输入端(在寄生电源接线方式时接地)。 光刻ROM 中的64 位序列号是出厂前被光刻好的,它可以 看作是该DS18B20 的地址序列码。64 位光刻ROM 的排列是: 开始8 位(28H)是产品类型标号,接着的48 位是该DS18B20 自身的序列号,最后8 位是前面56 位的循环冗余校验码 (CRC=X8+X5+X4+1)。光刻OMR 的作用是使每一个DS18B20 都各不相同,这样就可以实现一根总线上挂接多个DS18B20 的目 的。 DS18B20 中的温度传感器可完成对温度的测量,以12 位转化为例:用16 位符号扩展的二进制补码读数形式提供,以0.0625℃/LSB形式表达,其中S 为符号位。 这是12 位转化后得到的12 位数据,存储在18B20的两个8 比特的RAM 中,二进制中的前面5 位是符号位,如果测得的温度大于0,这5 位为0,只要将测到的数值乘于0.0625 即可得到实际温度;如果温度小于0,这5 位为1,测到的数值需要取反加 1 再乘于0.0625 即可得到实际温度。

温湿度检测控制系统

1 前言 温度和湿度的检测和控制是许多行业的重要工作之一,不论是货品仓库、生产车间,都需要有规定的温度和湿度,然而温度和湿度却是最不易保障的指标,针对这一情况,研制可靠且实用的温度和湿度检测与控制系统就显得非常重要。 温湿度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用。在生产中,温湿度的高低对产品的质量影响很大。由于温湿度的检测控制不当,可能使我们导致无法估计的经济损失。为保证日常工作的顺利进行,首要问题是加强生产车间温度与湿度的监测工作,但传统的方法过于粗糙,通过人工进行检测,对不符合温度和湿度要求的库房进行通风、去湿和降温等工作。这种人工测试方法费时费力、效率低,且测试的温度及湿度误差大,随机性大。目前,在低温条件下(通常指100℃以下),温湿度的测量已经相对成熟。利用新型单总线式数字温度传感器实现对温度的测试与控制得到更快的开发。但人们对它的要求越来越高,要为现代人工作、科研、学习、生活提供更好的更方便的设施就需要从数字单片机技术入手,一切向着数字化,智能化控制方向发展。 对于国外对温湿度检测的研究,从复杂模拟量检测到现在的数字智能化检测越发的成熟,随着科技的进步,现在的对于温湿度研究,检测系统向着智能化、小型化、低功耗的方向发展。在发展过程中,以单片机为核心的温湿度控制系统发展为体积小、操作简单、量程宽、性能稳定、测量精度高,等诸多优点在生产生活的各个方面实现着至关重要的作用。 温湿度传感器除电阻式、电容式湿敏元件之外,还有电解质离子型湿敏元件、重量型湿敏元件(利用感湿膜重量的变化来改变振荡频率)、光强型湿敏元件、声表面波湿敏元件等。湿敏元件的线性度及抗污染性差,在检测环境湿度时,湿敏元件要长期暴露在待测环境中,很容易被污染而影响其测量精度及长期稳定性。 2002年Sensiron公司在世界上率先研制成功SHT10型智能化温度/温度传感器,体积与火柴头相近。它们不仅能准确测量相对温度,还能测量温度和露点。测量相对温度的围是0~100%,分辨力达0.03%RH,最高精度为±2%RH。测量温度的围是-40℃~

热电阻的测温电路

Pt100热电阻的测温电路 [摘要] 热电阻测温是基于金属导体的电阻值随温度的增加而增加这一特性来进行温度测量的。热电阻大都由纯金属材料制成,目前应用最多的是铂和铜,此外,现在已开始采用镍、锰和铑等材料制造热电阻。 热电阻传感器主要是利用电阻值随温度变化而变化这一特性来测量温度及与温度有关的参数。在温度检测精度要求比较高的场合,这种传感器比较适用。目前较为广泛的热电阻材料为铂、铜、镍等,它们具有电阻温度系数大、线性好、性能稳定、使用温度范围宽、加工容易等特点。用于测量-200℃~+500℃范围内的温度。 温度测量系统应用广泛,涉及到各行各业的各个方面,在各种不同的领域中都占有重要的位置。从降低开放成本扩大适用范围、系统运行的稳定性、可靠性出发,设计一种以Pt100铂热电阻为温度信号采集元件的传感器温度测量系统。才测量系统不但可以测量室内的温度,还可以测量液体等的温度,在实际应用中,该系统运行稳定、可靠,电路设计简单实用。 [关键字] 传感器 Pt100热电阻温度测量

目录 1 前言 (4) 1.1 传感器概况 (4) 1.2 设计目的 (7) 2 设计要求 (8) 2.1 设计内容 (8) 2.2 设计要求 (9) 3 原器件清单 (10) 4 Pt100热电阻的测温电路 (11) 4.1 总体电路图 (11) 4.2 工作原理 (11) 5 Pt100热电阻测温电路的原理及实现 (12) 5.1 测温电路的工作原理 (12) 5.2 测温电路的实现 (14) 5.3 测量结果及结果分析 (15) 6 制作过程及注意事项 (16) 6.1 制作过程 (16) 6.2 注意事项 (17) 7 总结 (18) 8 致谢 (19) 参考文献 (20)

温度测量与控制-课程设计

赣南师院物理与电子信息学院感测技术课程设计报告书 题目:温度测量与控制 姓名: 班级: 指导老师: 时间: 一、系统功能 本温度控制器可以实现以下的功能:

(1)采集温度,并通过LED数码管显示当前温度。LED数码管显示温度格式为四位,精确度可达±0.1℃。例如:25℃显示为025.0。 (2)通过按键可自由设定温度的上下限,并能在LED数码管显示设定的温度上下限值。 (3)通过控制三极管的导通与否来控制继电器的关断,继而控制外部加热(电烙铁升温)和制冷(小型电风扇降温)装置,使环境温度保持设定温度范围内。(4)具有温度报警装置。当温度高于上限值,红灯亮起;或者低于下限值,黄灯亮起,并发出报警声。 二、系统原理框图 2.1 系统总体方案 该温度控制器的系统总体方框图如图1所示。该系统主要包含DS18B20温度采集电路、输入控制电路、晶振复位电路、数码管显示电路、继电器控制电路,等外围电路组成。 图1 系统总体方框图 2.2 系统原理图

图2 系统原理图 三、传感器的选用和介绍 综合各方面考虑,本设计我们选择的温度传感器是DS18B20。 3.1 DS18B20的主要特性 DS18B20的主要特性如下。 1)适应电压范围更宽,电压范围:3.0~5.5V,在寄生电源方式下可由数据线供电。 2)在使用时不需要任何外围元件,全部传感元件及转换电路集成在形如一只三极管的集成电路内。 3)独特的单线接口方式:DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通信。 4)测温范围:-55℃~+125℃,在-10~+85℃时精度为±0.5℃。 5)DS18B20支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温。 6)可编程的分辨率为9~12位,对应的可分辨温度分别为0.5℃、0.25℃、0.125℃和0.0625℃,可实现高精度测温。 7)在9位分辨率时最多在93.75ms内把温度转换为数字,12位分辨率时最

相关文档
最新文档