eda实训心得体会

eda实训心得体会
eda实训心得体会

eda实训心得体会

篇一:EDA实训心得

实训心得

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

这次EDA实训让我感觉收获颇多,在这一周的实训中我

们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,

为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。

通过这次课程设计,我进一步熟悉了Verilog HDL语言的结构,语言规则和语言类型。对编程软件的界面及操作有

了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。

在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和

工作。在此诚挚地向瓮老师致谢。

篇二:EDA课程设计心得体会

EDA课程设计心得体会

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us 左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽

互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

PLC实训心得

和学别的学科一样,在学完PLC理论课程后我们做了课

程设计,此次设计以分组的方式进行,每组有一个题目。我们做的是一个由三个部分组成的浇灌系统。由于平时大家都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做。但通过各方面的查资料并学习。我们基本学会了PLC设计的步聚和基本方法。分组工作的方式给了我与同学合作的机会,提高了与人合作的意识与能力。通过这次设计实践。我学会了PLC的基本编程方法,对PLC的工作原理和使用方法也有了更深刻的理解。在对理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到PLC中的时候,问题出现了,不是不能运行,就是运行

的结果和要求的结果不相符合。能过解决一个个在调试中出现的问题,我们对PLC 的理解得到加强,看到了实践与理论的差距。

通过合作,我们的合作意识得到加强。合作能力得到提高。上大学后,很多同学都没有过深入的交流,在设计的过程中,我们用了分工与合作的方式,每个人互责一定的部分,同时在一定的阶段共同讨论,以解决分工中个人不能解决的问题,在交流中大家积极发言,和提出意见,同时我们还向别的同学请教。在此过程中,每个人都想自己的方案得到实现,积极向同学说明自己的想法。能过比较选出最好的方案。

在这过程也提高了我们的表过能力。

在设计的过程中我们还得到了老师的帮助与意见。在学习的过程中,不是每一个问题都能自己解决,向老师请教或向同学讨论是一个很好的方法,不是有句话叫做思而不学者殆。做事要学思结合。

通过本次设计,让我很好的锻炼了理论联系实际,与具体项目、课题相结合开发、设计产品的能力。既让我们懂得了怎样把理论应用于实际,又让我们懂得了在实践中遇到的问题怎样用理论去解决。

在本次设计中,我们还需要大量的以前没有学到过的知识,于是图书馆和INTERNET成了我们很好的助手。在查阅资料的过程中,我们要判断优劣、取舍相关知识,不知不觉中我们查阅资料的能力也得到了很好的锻炼。我们学习的知识是有限的,在以后的工作中我们肯定会遇到许多未知的领域,这方面的能力便会使我们受益非浅。

在设计过程中,总是遇到这样或那样的问题。有时发现一个问题的时候,需要做大量的工作,花大量的时间才能解决。自然而然,我的耐心便在其中建立起来了。为以后的工作积累了经验,增强了信心

篇三:EDA心得体会

EDA学习心得体会

大三时候开始了专业课的学习,其中EDA就是要学的一

门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA(Electronic Design Automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。

在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因

而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。

在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去

篇四:EDA实训总结报告 2

淮安信息职业技术学院

EDA实训总结报告

系别专业嵌入式应用班级姓名

学号

XX年 9月16 日

EDA实训总结报告

计通系 12级嵌入式应用,姓名:学号:

摘要:经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电

路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。一、电路原理图及元器件库设计 1.原理图设计

电路原理图的设计主要是protel 99 se的原理图设计系统(Advanced Schematic)来绘制一张电路原理图。在这一过程中,要充分利用protel 99 se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。

绘制简单电路原理图过程:首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel 99 se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建络表;最后,加载络表。

例如如下时钟电路原理图:

当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。生成络表和元器件材料清单。

电气规则测试:

分析检查报告内容,修改错误。

时钟电路络表

2.元器件库设计

在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。

如:

我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。

二、 PCB板图及封装库设计

用印制电路板编辑器,设置工作层面和电路板画图环境,用Protel 99SE设计印刷电路板过程如下:1.启动印刷电路板设计服务器;2.规划电路板;3. 设置参数;4装入元件封装库;5..装入络表;6. 元器件布局;7. 自动布线。

电路板尺寸规划:

加载络表:

篇五:EDA实训心得

实训心得

本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容

纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,

系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD 表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。

实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。在不停的重复的编译、改错。拿着EDA修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。

在实训中我们遇到了很多的问题。为了解决这些问题我和他们

两个都在的想办法通过各种渠道寻找解决问题的方法。上查资料、问同学、图书馆查资料、问老师、自己想办法,

其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。

由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。

“纸上谈来终觉浅,绝知此事要躬行。”在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。

虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西

拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得

心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。

本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。

篇六:EDA实训报告总结

实训心得

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不

仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得

到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周

的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到

的宝贵东西,熟悉了对quartus ⅱ软件的一般项目的操

作和学到了处理简单问题的基本方法,

更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的

助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活

的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教

会我做人的道理。这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用eda设计三种波形的整个过程和思路,更加强了我们动手能力,同

时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地

方去修改程序。

本文基于verilog hdl的乒乓球游戏机设计,利用verilog hdl语言编写程序实现其波

形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从

分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改

进的地方,

为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游

戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件

的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指

导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问

他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到

的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。通过这次课程设计,我进一步熟悉了verilog hdl语言的结构,语言规则和语言类型。

对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,

到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的

时候,要有耐心,要学会一步步的去找问题的根源,才

能解决问题,还请教老师给予指导和

帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业

很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序

段实际思想,显示出我们在程序设计方面还有不足之处。在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要

性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动

手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,

他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必

须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所

以我认为只有到真正会用的时候才是真的学会了。本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷

津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实

实的精神,不仅授我以文,并将积极影响我今后的学习

和工作。在此诚挚地向瓮老师致谢。

篇二:南京理工大学eda设计实验报告摘要

通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。要求:

1. 熟悉multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析

方法。2. 能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方

法和步骤。multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。掌握设计

电路参数的方法。复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。

了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。关键字:电路仿真 multisim 负反馈阶梯波目次

实验一 1

实验二 11 实验

三 17实验一单级放大电路的设计与仿真

一、实验目的

1. 设计一个分压偏置的单管电压放大电路,要求信号源频率5khz(峰值10mv) ,负载电阻ω,电压增益大于50。

2. 调节电路静态工作点(调节电位计),观察电路出现

饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3. 调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度尽可能大。在此状态下测试:①电路静态工作点值;②三极管的输入、输出特性曲线和、rbe 、rce值;③电路的输入电阻、输出电

阻和电压增益;④电路的频率响应曲线和fl、fh值。

二、实验要求

1. 给出单级放大电路原理图。

2. 给出电路饱和失真、截止失真和不失真且信号幅度尽可能大时的输出信号波形图,并给出三种状态下电路静态工作点值。

3. 给出测试三极管输入、输出特性曲线和、 rbe 、rce值的实验图,并给出测试结果。

4. 给出正常放大时测量输入电阻、输出电阻和电压增益的实验图,给出测试结果并和理论计算值进行比较。

5. 给出电路的幅频和相频特性曲线,并给出电路的fl、fh值。

6. 分析实验结果。

三、实验步骤

实验原理图:饱和失真时波形:此时静态工作点为:所以,i(bq)=(cq)=(beq)=

u(ceq)= 截止失真时波形:此时静态工作点为:所以,

i(bq)= i(cq)= u(beq)=

u(ceq)= 最大不失真时波形:篇三:eda实验总结报告数字eda实验实验报告学院:计算机科学与工程学院专业:通信工程学

号:0941903207 姓名:薛蕾指导老

师:钱强实验一四选一数据选择器的设计

一、实验目的

1、熟悉quartus ii软件的使用。

2、了解数据选择器的工作原理。

3、熟悉eda开发

的基本流程。

二、实验原理及内容

实验原理

数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,

可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行

输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路

信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。 4选1数据

选择器:

(1)原理框图:如右图。

2018实习心得体会及收获【三篇】

2018实习心得体会及收获【三篇】 【导语】实习报告是指各种人员实习期间需要撰写的对实习期间的工作学习经历进行描述的文本。它是应用写作的重要文体之一。整理的范文“2018实习心得体会及收获【三篇】”,供大家阅读参考。查看更多相关内容,请访问实习报告频道。 篇一 首先介绍一下我的实习单位,贵阳恒晨饲料有限公司,改涉足水产研究,水产养殖及动物保健领域的大型农业科技型上市公司。目前公司有近四十家分子公司,年生产能力逾300万吨,是国内的水产饲料及主要畜禽饲料被四川省工商局评为四川省商标,2004年被国家工商行政管理总局商标局认定为中国驰名商标。通威饲料是中国十大饲料之一,产品的各项技术指标处于国内并达到国际先进水平。我所实习的通威股份揭阳通威饲料有限公司,是广东省粤东地区的水产饲料生产企业,年生产能力30万吨,在粤东地区销量位居同类产品之首。 自到恒晨饲料公司实习以来,我参加了公司的生产实践与销售工作两个阶段的锻炼。第一个阶段我被公司安排到农村做最基本的终端工作下乡.做示范,开养殖交流会等等加实践工作,主要是公司考虑刚出学校的我们实践经验还不够,理论和实践总有一些差距,在这个过程当中学习和找自己的不足,加以完善,并在实践生产当中提高自己

的综合能力以及实际动手能力。在同类养殖场相比较,具有相当强的实力和业内较好的口碑。通过这一阶段的实习和学习,让我更直观的了解了饲料养殖的基本情况和发展趋势,培养了我的实际动手能力和兴趣,对我以后从事养殖行业奠定了坚实的实践基础,同时让我更看好中国养殖发展的前景和未来,增加了我以后从事养殖事业的信心。 接下来的第二个阶段,我被安排到市场部工作,为公司做宣传和联系业务,推销公司的水产饲料产品。虽然自己认为很适合做推销工作,但实际上这并不是件好差事,对于我这个毫无饲料行业实际工作经验又没有业务联系初出茅庐的人来说,推销一种商品确实很难,人地两生,风俗习惯,口音等等各反面对我来说都是难题。所以刚开始那段时间,我真的无从下手,只能跟着单位的同事跑那些有业务联系的老顾客去学学看看。通过这一段时间的学习观察和老同事的分析。我总结如下:我公司产品主要负责销贵州东部地区,市西部地区场容量大,但饲料生产企业众多,场竞争激烈,我公司又是新建厂不久,对这一地区开发较迟,虽为中国贵州重点饲料龙头企业,但时常形势不容乐观,必须充分发挥养殖饲料龙头企业的优势[强大的实力,专业的技术,优良的品质,完善的售后服务等等]来赢得市场,才能在日益激烈的市场竞争中站稳脚跟。 皇天不负有心人,通过努力,我终于完成了两档生意,为这生意我做足了工夫,背熟资料,收集竞争厂家的资料,对比优势在那里,找出竞争厂家的劣势,市场调查,打听客户实际情况[兴趣,爱好,资金实力等等],总结前几次失败的原因,以更准确的找到切入点,

顶岗实习总结500字

顶岗实习总结500字 篇一:会计实习小结500字 会计实习小结500字 1、会计实习小结 为适应**公司发展的需要,严格按照集团下发的储备人员培训计划进行了相关财务核算知识和各项管理制度的学习,努力提高自身的综合素质,以期能够早日独立顶岗工作,不辜负各级领导的期望。现就这一个月以来自身的学习、工作情况作一简要总结,以接受各级领导评议: 一、努力学习,提高自身业务能力 进一步学习并实践进行了SAPR/3系统月底结账操作,从中更好地掌握了SAP的各项相关操作,并从中认识到SAP学习的重要性。通过填报公司各类财务报表,学习并了解了公司各类财务经济指标数据,以期能对财务报表进行

深入的分析。此外,通过实践认识到自己在财务知识某些方面的欠缺,更促使自己不断地在实践过程中学习,全面提高自身素质,以期更好地工作。 二、结合公司实际,深入认识集团财务工作的重要性 通过本月成本核算工作以及对各类报表的实践,真正认识到财务管理分析制度、全面预算管理制度、绩效考核制度以及相关资产、资金管理制度在企业管理的中作用,并由此更明确了自身从事财务工作所负有的重大责任。 三、学习集团管理制度,提高自身综合能力 为尽快适应财务管理岗位的要求,在工作实践的同时,积极学习集团各类相关制度文件与规定,以求在工作中更好的履行自己的职责。 经过这一个月的学习,掌握了更多财务实践知识,在业务技能上有了较大的提高。同时,通过学习和实践,在工作态度和责任感上都有了新的认识和提

高。 2、会计实习小结 课本上学的知识都是最基本的知识,是一成不变的,跟不上时代的变化与发展。但是社会的变革与发展需要理论知识地推动。两者相辅相成。所以,我们在学校时,应该努力学习与本专业相关的各种知识,有了这些基本知识做后盾,要想适时地学习各种有关知识也是信手拈来。但是互联网信息的普及发展及应用,使我们明白了资讯的重要,我们应该关注各种信息的发布,如若不然,我们怎能应付瞬息万变的社会呢?又怎么会洞息会计的最新发展动向呢? 经过这次实习,虽然时间很短。可我学到的却是我大学两年中难以学习到的。会计本来就是烦琐的工作。在实习期间,我也曾觉得整天要对着那枯燥无味的账目和数字而心生烦闷、厌倦,以致于登账登得错漏百出。愈错愈烦,愈烦愈错。反之,只要你用心地做,反而会左右逢源。越做越觉乐趣,越做越起

西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真 实验目的: 学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容; 实验内容: 1.四选一多路选择器的设计 首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。 步骤: (1)建立工作库文件夹和编辑设计文件; (2)创建工程; (3)编译前设置; (4)全程编译; (5)时序仿真; (6)应用RTL电路图观测器(可选择) 实验程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT( S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0); A,B,C,D:IN STD_LOGIC; Q:OUT STD_LOGIC ); END ENTITY mux41; ARCHITECTURE bhv OF mux41 IS BEGIN PROCESS(A,B,C,D,S10) BEGIN IF S10="00" THEN Q<=A; ELSIF S10="01" THEN Q<=B; ELSIF S10="10" THEN Q<=C; ELSE Q<=D; END IF; END PROCESS; END bhv; 波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。 其RTL电路图为: 2.七段译码器程序设计仿真 2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。 实验图1 数码管及其电路 2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

XX实习心得体会500字左右

XX实习心得体会500字左右 第1篇:大学生社会实践心得体会这一段时间的学习,学到了很多在学校学不到的东西,也锻炼了自己,提高了自己实际操作的能力。而今的中国发展是飞速的,世界的变化是飞速的,所以,就要求我们不断的更新我们在课本上学到的知识,不断扩展自己的知识面,不断从实践中学习,不断完善自己,武装自己,只有这样,才能在今后的竞争中不被淘汰,才能在今后的学习工作中表现的更好。 社会总是不同于学校的,学校中的那份纯真,学校中那份轻松的气氛,在社会上是不存在的,在学校中,我们所接触的人和事都是那么单纯、那么简单,在学校中,我们除了学习没有多少事情需要去做,生活的轻松而且自在,但在工作单位,就不是那么简单了,我们要做的事情是那么多,那么繁碎,然而,没有人会告诉你,你要做什么,你要怎么做,只能凭自觉去做,要做好,做的认真漂亮,要把最简单的事情以最认真的态度去做,也只有这样,我们才能得到别人不一样的评价,我们才能有一个漂亮的开头,同时,我们也会发现自己的不足,才能向别人学习更丰富的知识,才能学习别人为人处事的方法,才能高自己的能力。 在工作单位,在实际工作中,会遇到书本中没有学到的知识,可能工作中要用到的和书上学的一点联系都没有,有

时,实际工作是那么简单,而书上讲的又是那么复杂,有时,书上一两句话带过,而实际操作又那么复杂,这让人很迷惑,不过,书本上的知识是死的,在实际工作中却需要灵活运用,所以大学生的实践活动是非常重要的,必不可少的,毕竟两年后,我已经不是一名大学生,而是社会中的一分子,所以,不能纸上谈兵,要锻炼自己,要成为一名合格人才。 理论需要与实践相结合,只有理论没有实际操作,只是纸上谈兵,是不可能立足于这个社会的,所以,一定要走出校门,接触社会,真正的把理论与实践结合,真正的成为一名合格的会计人员。 通过这次的实习,我对自己的专业有了更为详尽而深刻的了解,也是对这一年大学里所学知识的巩固与运用。从这次实习中,我体会到了实际的工作与书本上的知识是有一定距离的,并且需要进一步的再学习。学习不是局限于书本,而是要对知识有一个比较全面的掌握。俗话说,千里之行始于足下,这些最基本的往往是不能在书本上彻底理解的,所以基础的掌握尤其显得重要,特别是目前的就业形势下所反映的高级技工的工作机会要远远大于大学本科生,就是因为他们的动手能力要比本科生强。从这次实习中,我体会到,如果将我们在大学里所学的知识与更多的实践结合在一起,用实践来检验真理,使一个本科生具备较强的处理基本实务的能力与比较系统的专业知识,这才是我们学习与实习的真

EDA实训心得体会

EDA实训心得体会 经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。如下是给大家的EDA实训心得体会,希望对大家有所作用。 大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA(Electronic Design Automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。 在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件 电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至

部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。 在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握

毕业实习心得体会及收获(体会心得)

毕业实习心得体会及收获 本人于XX年2月10日来到东莞长发光电科技有限公司开始了为期20天的暑期认识实习。最后由于该企业设备的维修以及车间的装修,本次实习被提前至2月29日结束返校。虽然只有短短的20天时间,但是在这段时间里,在企业导师、员工师傅的耐心指导和自己的虚心学习下,对于一些平常的理论知识终于有了一定的感性认识,这对我们以后的学习和工作有很大的帮助。下面是我此次实习总的心得体会,希望给师弟师妹们一些启发。 在整个实习过程中,我每天都有很多新的体会,新的想法,我总结下来主要有以下几点: 1.上学与上班的区别 上学的时候,自由支配的时间很充裕,可以适时偷懒、放松、外出等;上班的时候,每天都有要完成的任务,同时有一种责任抗在肩上,即使没任务也要到点才能下班。上学的时候可以很有个性,但上班就必须要融入企业、融入社会的文化氛围中,否则很快就会被淘汰。上学的时候很自信,觉得自己将来能干一番事业;上班了,才觉得自己需要学习的东西太多了。在学校,直来直往,犯点错误只会受点教训;出来社会,犯错会比错误本身要承受更大的责任,所以必须少说话,多办事。上了班才知道什么叫做现实,上了班才知道什么叫做生活的艰辛。所以总结下来,个人觉得能作为一名大学生是幸福的,我们需要好好地珍惜大学生活,让大学生涯充实无憾。 2.适应

从学校走到社会,环境、人群、责任都一下子发生了变化。对于大学生来说确实要做到尽快转换角色,去适应急速的工作时间和节奏,不然很有可能会影响你在工作上的状态。 3.坚持 我们不管到哪家公司,一开始都不会立刻给工作我们做,一般是先让我们看或是做杂货,时间短的要几天,时间长的要几周,在这段时间里很有可能会产生厌倦或乏味无聊的感觉,便产生离开的想法,在这个时候一定要坚持,轻易放弃只会一直恶性循环,更不用提什么晋升了。 4.多做事,少说话 我们到企业工作以后,要知道自己能否胜任这份工作,关键是看你自己对待工作的态度,态度认真了,工作就不会乏味,学习和工作也就事半功倍。我觉得作为一名社会工作者,需要怀有多听、多看、多想、多做、多请教、少说话这一“五多一少的”态度。工作如此,为人处事也是如此。

实习报告500字左右

实习报告500字左右 暑期两个多月的时间,一晃而过,但就是在这短短的一个多月中,通过社会实践,让我领悟了许多东西,而这些都将使我终身受用。那么实习报告500字左右有哪些?大家不妨来看看推送的实习报告500字左右,希望给大家带来帮助! 中国的经济越和外面接轨,对于人才的要求就会越来越高,我们不只要学好学校里所学到的知识,还要不断从生活中,实践中学其他知识,不断地从各方面武装自已,才能在竞争中突出自已,表现自已。 作为一名第一次走向社会进行社会实践的大一学生,我真真切切地感受到了自己的不足之处。但是,我还有改进的时间和空间。由于缺少经验,在服装印花厂开始工作的几天,我总是会犯下一些不大不小的错误。而且,碍于放不下面子,做事总是畏手畏脚直到听到和我一同去进行实习的朋友建议我,放下面子,真正从工作中学习技术,提高自身与他人沟通交际能力,我才下定决心,定要从这次社会实践中获得经验和进步。 在印花服装厂工作,也不是事事顺利,也会碰到一些小困难。记得那天,由于天气的原因,我没有准时去到工厂,结果受到了车间主任的严厉批评。当时,还有许多工人在周围工作。他们中许多人都向我投来幸灾乐祸的关注神情,让我觉得相当难堪。我第一次对那份工作产生了抵触情绪,工作也做得马马虎虎,敷衍了事。回到家后,我认认真真地回忆了事情的前后经过。开始,还为车间主任批评我感

到忿忿不平。后来,我意识到了这可能一开始就是我的过错。如果,工厂中大部分工人都像我一样,因为各种各样的客观原因就随便迟到,这势必会严重影响到工厂的生产效率!无论从企业还是职工最终自我价值方面,这种做法都是不值得赞许的。第二天,我主动向主任道歉,并保证再不会出现因个人问题而耽误生产的现象。主任也为昨天批评口气过重向我道歉,并鼓励我好好努力,多从厂中学习有用知识。 通过这件事,我认识到了,自己不但要学习专业知识,还要学 会做人,做事,与人相处。 勇于实践,善于实践才能获得真正的知识。这是自古以来一条 亘古不变的真理。 赵括的知识无论掌握地多么娴熟,但终究在战场上败下降来, 成了纸上谈兵的“英雄”。 船王的儿子不管知识多么丰富,但还是逃脱不了命葬大海的厄运,成了船王一生中最大的遗憾。 他们都没有经过实践的洗礼就去与困难搏击。现实是不会同情 一个没有经验的人的,它只会无情地把你淘汰出局。甚至输掉的就是生命。 雏鹰不知用力地拍打过多少次翅膀,忍受过多少次跌落的痛苦,才可以和父母一样在天空翱翔。 幼虎不知经过多少次饥饿的煎熬,才学会了捕食,才渐渐有了 强壮的身躯,丰富的经验,才不愧于“林中之王”的称号。

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

EDA实训内容-实验报告

一、课程设计的内容 1、学习EDA仿真技术,并使用Multisim仿真软件完成指定训练内容。 2、学习SOPC技术,并在FPGA上完成相关内容,掌握和理解SOPC的真正内涵。 3、通过学习了解Synopsys软件,掌握IC设计基础。 二、课程设计的要求与数据 1、严格按照分组情况进行实训; 2、完成指定的设计任务; 3、相关设计数据要填入指定表格; 4、课程设计的报告严格按照学校指定格式执行; 5、实训期间不得迟到早退,否则将严肃处理。 三、课程设计应完成的工作 1、学习Multisim仿真软件,并完成以下设计任务: Lab1-4必做,Lab5-9选做任意数量。 2、学习SOPC技术,并完成以下设计任务: Task1-4选作一题,Task5必做。 3、学习IC设计技术基础,并完成以下设计任务: Synopsys IC设计基础,主要学习linux基本操作,IC设计基本流程,概念,完成Design Compiler综合工具实验。 四、课程设计进程安排

五、各实验相关内容和结果。 A、SOPC技术运用 SOPC技术运用这一实验要求完成的是task5和选做task1-4中的一个,这里选择的是task1. A1、Task5主要是学习创建Nios2系统和通过利用Nios开发板熟悉软件设计流程。在软件设计流程时,改Buttons的值为0xe后点击resume运行if语句后variables的值将会改变,如图示: 这里附上Nios2的原理图: A2、Task1主要完成对数据存储和读取电路的设计,这里数据存储和读取电路以一个双端口SRAM 为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED 七段译码,送LED 数码管显示。具体内容是用一个4 位二进制计数器产生存取地址;以一个 4位的十进制计数器产生欲存储的数据;读出的数据自然也是一个4位的十进制数,将它送给七段数码管显示出来。这里我门主要设计4位的十进制计数器和4位的二进制计数器以及七段LED显示译码器LEDSP,这里要采用16×4bit的异步双端口SRAM模块DSRAM4而库里边的lpm. lpm_componets在这里边出了些问题,所以直接从Quartus的库里边直接找lpm_ram_dp模块。 这里附上数据存储和读取电路的原理图:

实习心得体会及收获1500字4篇

实习心得体会及收获1500字4篇 实习是从学校走向社会的缓冲带,是进入社会、了解社会的预演。而医学院校的体育专业的学生由于其专业和学习内容的特殊性,其实习目的与其他类体育专业学生有一定差异性。下面是带来的实习心得体会及收获1500字,欢迎查看。 实习心得体会及收获1500字一: 会计,这是一个注重经验的职业,工作经验是求职时的优势,那么我为了积累更多的工作经验,经过学校和朋友的努力,在____有限公司获得了一次十分难得的实习机会。在实习期间我努力将自己在学校所学的理论知识慢慢的开始向实践方面转化,尽量的做到理论与实践相互的结合,在实习期间能够严格的遵守工作纪律,不迟到、早退,认真的完成领导交办的工作,得到领导还有全体工作人员的一致好评,并且也发现了自己的许多不足之处。 __有限公司是一家事业单位下属的小型公司,其主要业务就是种苗和农药。每年的经济业务不是太多,因此财务室只设有会计、出纳各一名。该单位是采用电脑记账,采用的是三门财务软件。此次实习,我主要岗位是会计,因此主要实习了公司的会计科目及会计处理。同时对出纳的工作也有了具体的了解。在实习

中,我参与了整个2到4月全部的会计工作,从审核原始凭证、编制记账凭证、登账到编制会计报表都有亲自动手。 认真学习了正当而标准的事业单位会计流程,应前辈的教诲还认真学习了《公司法》、《税法》《会计法》,真正从课本中走到了现实中,从抽象的理论回到了多彩的实际生活,细致的了解了事业单位会计工作的全过程,认真学习了各类学校经济业务的会计处理,并掌握了三门财务软件的使用实习期间,我利用此次难得的机会,努力工作,严格要求自己,虚心向财务人员会计实习报告请教,认真学习会计理论,学习会计法律、法规等知识,利用空余时间认真学习一些课本内容以外的相关知识,掌握了一些基本的会计技能,具体包括了以下几点: 1、原始凭证的审核方法及要点; 2、记账凭证的填写及审核要点; 3、明细账、总账的登记及对账; 4、财务报表及纳税申报表的编制与申报; 5、会计档案的装订及保管常识。 从而进一步巩固自己所学到的知识,为以后真正走上工作岗位打下基础。更让我感到感动的是,领导让公司的前辈教会我处理公司的综合事物。例如营业执照的办理。税务登记的有关事项。一般纳税人的申请。以及如何进行企业合法节税的运用。等等。

实习生个人小结500字

实习生个人小结500字 在实习工作岗位上一定要勤于思考,不断改进工作方法,提高工作效率。今天,我为大家带来了实习生个人小结500字,希望大家喜欢! 实习生个人小结500字篇1 工作时一定要一丝不苟,认真仔细。一个职员在公司的大部分时间都是在工作的,这就要求他在这段时间内一定要小心谨慎,一丝不苟,不能老是出错。有时候一个失误不仅仅使你自己的工作进程受阻,还会影响他人,甚至受老板批评责备,因此认认真真地做每一件事情就显得尤其重要。为了不使自己前功尽弃,我们在刚开始工作的时候一定要慎之又慎,对自己的工作要十分重视,如果有必要一定要检验自己的工作结果,以确保自己的万无一失。在你对工作开始了解并熟练后,你会发现自己已能不用检验就能保证工作的质量了。而此时你也许不需要总是重复检验工作结果,但还是要对自己的工作认真对待,以免失误。 就像我在工作的时候,也犯下了不少错误,比如说领导交给我的文档资料整理任务,我在最后忘记把碎纸机关掉就匆匆跑去汇报任务了,机器一直开着影响了别人,领导批评了我,我也为自己的错误懊悔不已。还有一次我在做客户满意度回访的调查时,原以为经过前几次电话回访的经历,应付这次工作应该是小菜一碟,谁知道第一个电话我就犯了重大错误,我把客户经理的电话当作客户的号码给拨了过去,我还信誓旦旦地说:"您好,这里是中国移动定海分公司......"那人很惊讶的说它也是移动公司的,怎么客户回访她了,我也感觉很奇怪,直到那位客户经理拿着手机从隔壁办公室跑到我面前,我才发现自己犯了严重的错误。还好这次只是打错了电话,要是经常不注意自己的工作,可能会造成更严重的损失。 实习生个人小结500字篇2 时光转眼即逝。当毕业在即,回首三年学习生活历历在目。职高学习生活是我一生中最重要的阶段。三年不仅是我不断增长知识、开拓眼界的三年,更是我在思想、文化上不断认识,学会做人的三年。我也逐渐成为一名有理想、有道德、有文化、有纪律的合格职高生。 生活上,我拥有严谨认真的作风,为人朴实真诚,勤俭节约,生活独立性

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

实习心得体会及收获

实习心得体会及收获 实习虽然是短暂的,但是在每个人心中的作用是不同的,通过实习让我们在工作中学到很多书本上不能学习到的东西,下面是为你整理的三篇实习心得体会及收获。 篇一:实习心得体会及收获 这次实习,除了让我对商业企业的基本业务有了一定了解,并且能进行基本操作外,我觉得自己在其他方面的收获也是挺大的.作为一名一直生活在单纯的大学校园的我,这次的实习无疑成为了我踏入社会前的一个平台,为我今后踏入社会奠定了基础. 首先,我觉得在学校和单位的很大一个不同就是进入社会以后必须要有很强的责任心.在工作岗位上,我们必须要有强烈的责任感,要对自己的岗位负责,要对自己办理的业务负责.如果没有完成当天应该完成的工作,那职员必须得加班;如果不小心出现了错误,也必须负责纠正。 其次,我觉得工作后每个人都必须要坚守自己的职业道德和努力提高自己的职业素养,正所谓做一行就要懂一行的行规.在这一点上我从实习单位同事那里深有体会.比如,有的事务办理需要工作证件,虽然对方可能是自己认识的人,他们也会要求对方出示证件,而当对方有所微词时,他们也总是耐心的解释为什么必须得这么做.现在商业企业已经纳入正规化管理,所以职员的工作态度问题尤为重要,这点我有亲身感受.

最后,我觉得到了实际工作中以后,学历并不显得最重要,主要看的是个人的业务能力和交际能力.任何工作,做得时间久了是谁都会做的,在实际工作中动手能力更重要. 因此,我体会到,如果将我们在大学里所学的知识与更多的实践结合在一起,用实践来检验真理,使一个本科生具备较强的处理基本实务的能力与比较系统的专业知识,这才是我们实习的真正目的. 很感谢实习单位给我这个这么好的实习机会,让我学习很多、成长很多、收获很多。 篇二:实习心得体会及收获 实习,就是把我们在学校所学的理论知识,运用到客观实际中去,是自己所学到的理论知识有用武之地,只学不实践,那么所学的就等于零。理论应该与时间相结合。另一方面,实践卡可以为以后找工作打基础。通过这段时间的实习,学到一些在学校里学不到的东西。因为环境不同,接触的人与事不同,从中学到的东西自然就不一样。要学会从实践中学习,从学习中时间。而且中国的紧急飞速发展,在拥有越来越多的机会的同是,也有了更多的挑战。对于人才的要求就会越来越高,我们不只要学号学校所学到的知识,好药不断充生活中,实践中学其他知识,不断从各方面武装自己,才能在竞争中突出自己,表现自己。 短短两个月的工作过程是我受益很大。不仅让我开阔了眼界,最主要的是懂得了如何更好的为人处事。 第一要真诚:你可以伪装自己的面孔,但绝不可以忽略真诚的力

会计实训心得体会500字3篇

会计实训心得体会500字3篇 只有在实训中才能知道自己的不足之处在哪里,只有通过实训才能增进自己的知识,才能使自己进步,平时总以为自己学的很好,知识学的很牢固,在实训中才能知道自己原来很多知识没有学好,下面是整理的关于会计实训心得体会500字范文,欢迎阅读! 会计实训心得体会500字范文一 实训时间:20xx年x月12日-20xx年x月25日 实训地点:本班教室 实训目的:通过本次模拟实验培养我们学生实际动手能力,缩短课本知识与实际工作的距离。 经过一个多学期的学习,我们在老师的指导下进行了两个星期的会计手工实习。我们按手工记账的程序和方法进行了全方位,全仿真性的了解。 实训中,我们组织三人一组。由一个组长带领两名组员组织和指挥实验小组会计模拟实验的操作。 首先,我们根据实验资料中的经济业务摘要编制会计分录。然后设置t字账,编制科目汇总表、开设总账、登记期初余额、且根据科目汇总表登记总帐并结账,最后根据总账及其他有关资料编制资产负债表、利润表和现金流量表。 在我所在的小组中,我担任写分录、根据科目汇总表登记总账、登记记账凭证的工作。在登记记账凭证时,我自以为对单据等并不陌生,但是在实际操作时还是出错了,例如,借方科目的金额写到贷方科目去了。存根联忘写日期等等,还有在写分录的过程中,感觉都是在学习理论知识见过的,看起来比较简单,但是真正轮到自己做的时候,却是错误百出。有时看错了金额栏的位置,明明是在千位却写到了万位,导致数字错误,有时忘写会计科目的明细账,有时没注销空白的金额栏等。有时候登账时特别是三栏明细账,由于明细项目太多,金额栏紧凑一不小心就会把数字填错。但是在经过几天的熟悉过程之后,错误就慢慢的减少了,而且手工操作的速度也有提高。虽然实训这几个星期每天都生活在忙碌之中,但每天都过得很充

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

EDA技术及指导应用实训报告材料

电子科技大学信息科技学院《EDA技术及应用》实训报告 学号1252100301 姓名 指导教师:覃琴 2014年4 月29 日

实训题目:数字日历电路 1 系统设计 1.1 设计要求 1.1.1 设计任务 (1)用Verilog HDL语言设计出能够在EDA实训仪的I/O设备和PLD芯片实现的数字日历。 (2)数字日历能够显示年、月、日、时、分和秒。 (3)用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段显示年、月、日(如20140101),然后在另一时间段显示时、分、秒(如010101099),两个时间段能自动倒换。 (4)数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时。 (5)体现创新部分 1.1.2 性能指标要求 1)数字电路能够在一定的时间显示切换的功能,并且能手动校准年月日和时分秒 2)具有复位和进位的功能 3)能起到提示的作用,如闹钟或亮彩灯等。 1.2 设计思路及设计框图 1.2.1设计思路 如图1.2.2所示 1) EDA实训箱上的功能有限,可以用到的有8支数码管和12个lED灯。年、月、日和时、分、秒可以通过数码管显示,年月日和时分秒的切换可以通过拨动开关控制,校正可以通过按键实现。 2)输入的秒脉冲由DEA实训仪上的20MHZ晶振经过分频得到,秒脉冲经过60分频后产生1分钟脉冲信号,在经过60分频后产生1小时的脉冲信号,最后进行24分频,得到1天的脉冲送24进制的 cout输出。在将两个60分频和一个24分频的输出送到送到数码管的译码器输入端,得到24小时的计时显示结果。由此得到数字日历的计时器模块。

个人实习总结500字

个人实习总结500字 个人实习总结一: xx年12月,我在xx丰田汽车销售服务有限公司进行维修实习活动。在这一个月的时间里,我对汽车维修服务站的整车销售、零部件供应、售后服务、维修以及信息反馈等有了一定的了解和深刻体会。xx丰田汽车销售服务有限公司是一个拥有丰田定点、配套、直接提供的一流维修设备:举升机、轮胎动平衡机、车身校正架、烤漆房等,还拥有先进的进口检测仪器:电脑检测仪、点火测试仪等,及使用于维修业务的计算机网络。宽敞、整洁的业务接待大厅和服务周到的客户休息室为客户提供舒适的环境,宽敞的维修车间,设置24个标准工位充分满足维修作业的需要。规格齐全,优质纯正的配件是上海大众系列车辆运行的安全保证。xx丰田汽车销售服务有限公司是一个大型的四s店。主要销售;威驰、花冠、锐志、皇冠、普拉多、巡洋舰、柯斯达等国内畅销车型。 虽然我们在这家公司实习的时间很短,但是我们在这家公司去学到了很多我们想学习到的知识,使我们对汽车行业有了更进一步的了解,我知道自己在学校学到的东西很少,还有很多是我们不知道的。在实习期间我们认真和师傅们学习汽车方面的知识,积极动手,培养了我们吃苦耐劳的精神,认真了解是车身的构造。完成了汽车拆装的目的,达到了我们实习的要求,我们在企业里,了解到很多企业文化和企业管理体制。使我们不紧在自己的专业

有了突破,也在学习到许多关于企业管理方面的知识。总体来说我成功的完成了这次实习,为我以后的工作道路上起着很重要的作用。 个人实习总结二: 工作以来,在单位领导的精心培育和教导下,通过自身的不断努力,无论是思想上、学习上还是工作上,都取得了长足的发展和巨大的收获,现对自己做如下鉴定结如下: 思想上,积极参加政治学习,坚持四项基本原则,拥护党的各项方针政策,自觉遵守各项法规。 工作计划上,本人自xxxx年工作以来,先后在某某部门、某某科室、会计科等科室工作过,不管走到哪里,都严格要求自己,刻苦钻研业务,争当行家里手。就是凭着这样一种坚定的信念,我已熟练掌握储蓄、会计、计划、信用卡、个贷等业务,成为xx行业务的行家里手。 记得,刚进xx行,为了尽快掌握xx行业务,我每天都提前一个多小时到岗,练习点钞、打算盘、储蓄业务,虽然那时住处离工作单位要坐车1个多小时,但我每天都风雨无阻,特别是冬天,冰天雪地,怕挤不上车,我常常要提前两、三个小时上班,就是那时起我养成了早到单位的习惯,现在每天都是第一个到行里,先打扫卫生,再看看业务书或准备准备一天的工作,也是这个习惯,给了我充足的时间学习到更多的业务知识,为我几年来工作的顺利开展打下了良好的基础。

郑州航院EDA实验报告模板

《EDA技术及应用》 实验报告 系部:电子通信工程系 指导教师:张松炜 学号:121307214 ____ 姓名:李俊杰_______

2014___年_6___月__19_日 实验一点亮LED设计 一、实验目的 通过此试验可以让大家逐步了解,熟悉和掌握FPGA开发软件Quartus II的使用方法及VerilogHDL的编程方法。 二、实验内容 本实验是一个简单的点亮LED灯的实验,具体包括: 1)使用Quartus II建立一个工程; 2)Quartus II工程设计; 3)设置编译选项并编译硬件系统; 4)下载硬件设计到目标FPGA; 5)观察LED的状态; 三、实验原理 和单片机一样,向片子里写进数据,输出高电平(对于共阴极的),或者输出低电平(对于共阳极)。根据Cyclone片子已经分配好的针脚设置好针脚。 四、实验步骤 建立-----个工程-----输入程序-----软件编译 ------生成下载文件-----下载—调试。

五、实验程序 module led1(led); //模块名led1 output[7:0] led; //定义输出端口 reg[7:0] led; //定义寄存器 always //过程1 begin led = 8'b10101010; //输出0xAA end endmodule 六、思考题 (1)写出使用QuartusII软件开发工程的完整的流程。 建立一个工程—输入程序—软件编译综合—生成下载文件— 下载—硬件调。 实验二流水灯实验 一、实验目的 通过此试验让大家进一步了解熟悉和掌握FPGA开发软件的使用方法及软件编程方法。学习简单的时序电路的设计和硬件测试。 二、实验内容 本实验的内容是建立用于控制LED流水灯的简单硬件电路,要求在SmartSOPC上实现LED1-LED8发光二极管流水灯的显示。 三、实验原理

相关文档
最新文档