循环彩灯实验报告

循环彩灯实验报告
循环彩灯实验报告

实验报告

数字显示电路实验将基本门实验,编码器、显示译码器、7段显示器实验,加法器实验和比较器实验综合为一个完整的设计型的综合实验。通过本实验,要求熟悉各种常用MSI组合逻辑电路的功能和使用方法,学会组装和调试各种组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。

实验目的

1、掌握基本门电路的应用,了解用简单门电路实现控制逻辑。

2、掌握编码,译码和显示电路的设计方法。

3、掌握用全加器,比较器设计电路的方法。

实验内容:实现2-8灯右移循环。

拓展内容:2-8灯左移循环,奇偶灯闪烁,8灯往复循环。

元件清单:74ls194、74ls195各两片,555定时器一片,4060十四位加法器一片,开关若干,47nf、10uf电容各一只,1k电阻两个,300 双刀双掷开关两个。

实验原理:

#

循环功能主要由移位寄存器74ls194实现,拿右移举例,实验原理图如下:

两个74ls194串联,组成八位的移位寄存器,第二片的Q4端加非门连到S0,当Q4端为低电平时,通过拨码开关向Q1-Q8置位,Q1-Q8为01111111,第一灯亮;这时S0=1,S1=0,

右移,因为第一片sr端接高,Q1=1,故Q1-Q8为,第二灯亮……当循环到达时,第八灯亮,实现一次循环,此时S0=1,S1=1,重新置数为01111111,新的循环开始。

改变开关,可实现2-8灯亮。

左移循环原理:与右移循环类似,只是要将Q1加非门连到S1端,通过拨码开关向Q1-Q8置位,Q1-Q8为,第八灯亮;这时S0=0,S1=1,右移,因为第二片sl端接高,Q1=1,故Q1-Q8为,第七灯亮……当循环到达01111111时,第一灯亮,实现一次循环,此时S0=1,S1=1,重新置数为,新的循环开始。

改变开关,可实现2-8灯亮。

奇偶灯闪烁:将拨码开关置为01010101,模式设为右移,下一时刻Q1-Q8为,重新置位01010101,这样就实现了闪烁功能。

8灯自动往复循环:这需要对S0,S1有极为精确地控制,我们的思路是,首先置位Q1-Q8为01111111,然后进行右移七个时钟周期,再左移七个时钟周期,将上述步骤作为一个循环周期。我们用两片195组成扭环形计数器,设初始状态为00000000,,,,,0,,,,01111111,00111111,00011111,00001111,00000111,00000011,0000000 1。取第一位和最后一位作为S0和S1控制信号。这样S0,S1的序列为11,10,10,10,10,10,10,10,00,01,01,01,01,01,01,01。

很好的实现了周期循环的功能。

电路功能详解

时钟发生器:

555多谐振荡器

TH:阈值输入端

TR:触发输入端

CO:控制电压输入端

;

OUT:输出端

DIS: 放电端

RST: 复位输入端

R1=R2=1K,C1=10uF。

根据公式121

1.44

f (2)*R R C =

+得f=44Hz 。

分频器:

CC4060 由一震荡器和14 极二进制串行计数器位组成,实验中用作分频器。 功能表:

逻辑符号:

我们用了64分频,最终频率为f=

4464

= 移位寄存器:

74ls194

当清除端(CLEAR )为低电平时,输出端(Q A -Q D ) 为低电平。

当工作方式控制端(S0、S1)为高电平时,在时钟 (CLOCK )上升沿作用下,并行数据(A -D )被送入 相应的输出端Q A -Q D 。此时串行数据(D SR 、D SL )被禁 止。

当S0 为高电平、S1 为低电平时,在CLOCK 上升沿作用下进行右移操作,数据由D SR 送入。

当S0 为低电平、S1 为高电平时,在CLOCK上升沿作用下进行操作,数据由D SR送入。当S0 和 S1 为低电平时,CLOCK 被禁止。

74ls195

CLOCK 时钟输入端

CLEAR 清除端(低电平有效)

A-D 并行数据输入端 J 串行数据输入端

K串行数据输入端(低电平有效)

Q A~Q D 输出端

Q D 互补输出端

S LOAD 移位控制/置入控制(低电平有效)

实验感想:

1.电路图应该先在电脑上用multisim仿真,这样可保证电路是正确的。

2.需要接高电平的输入引脚不能悬空处理,我测过输入端悬空的电压,当电源电压为6伏时(充电器的电压),悬空端电压为3伏多一点,这时如果有外界干扰,很容易造成电压小于判决门限,成为低电平。

3.输出端初始时刻处于一个随机的状态,假设为01010101,显然不能回到正常的循环中,导致实验失败。设计初期并没有考虑到这一点,结果发现板子有时是正常的,有时就会出现混乱。询问了老师后才明白过来,应该加一个初始化电路—给195一个单脉冲,使它清零,这样就回到循环中了。这个单脉冲可以又不同的实现方法。我想到的直接加一个开关接地,按下开关,clr端为低电平,实现清零。

4.检查电路时首先检查布线图是否正确,其次检查板子是否完全按布线图连线,再次检查是否有虚焊和短路。

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

八路循环彩灯控制电路课设

多功能彩灯控制器设计 目录 一、设计任务及要求----------------------------------------------------------------------- 二、设计方案-------------------------------------------------------------------------------- 三、电路元件参数计算-------------------------------------------------------------------- 四、振荡器的使用(555)--------------------------------------------------------------- 五、译码器的选用(74138)------------------------------------------------------------ 六、计数器的选用(73160)------------------------------------------------------------ 七、彩灯的控制----------------------------------------------------------------------------- 八.设计原理电路-------------------------------------------------------------------------- 九.电路仿真波----------------------------------------------------------------------------- 十.元件列表-------------------------------------------------------------------------------- 十一.故障分析与改进------------------------------------------------------------------ 十二.心得体会-----------------------------------------------------------------------------

plc设计实验报告

学院:信息工程学院班级: 学号: 姓名:

实验一:了解PLC的硬件构成与特性和编 程软件的使用方法 一、实验目的: 1.熟悉LG—K10S1型PLC的构成及特性。 2.掌握基本指令的使用方法。 3. 掌握PLC程序的编制和调试方法。 二、实验步骤: 1.按图接线;开关量输入信号/输出信号。 2.检查无误后,上电运行。 三、掌握PLC编程软件的使用方法: 1) 用户可以在KGL-DOS 或者GSIKGL中使用的程序,参数,变量/注释也适用与KGL for Windows。 2) PLC 系统由工程[Project]结构 KGL for Windows把用户自定义程序当成一个包括参数和变量/注释的工程[Project]来管理。 同时有允许用户把程序(*.PRG),参数*(.PMT),,变量(*.VAR),注释(*.CNT)各自保存起来,是这些单独的文件应用到别的工程[Project]中。 3) 用户友好接口 为创建,编辑和监视提供简单的和友好的接口。 4) 在线编辑 在在线方式下可以获得实时编辑。在在线条件下编辑的程序可以自动的下载、而不必停止PLC的硬件。 5) 从PLC监视信息 用户可以轻易的监视PLC的状态如:错误状态,网络信息和系统信息。 6) 调试和自诊断(LG MASTER-K系列) 在精确调试中可以得到取样跟踪,触发和强制I/O Enable。

实验二:多重输入电路及其拓展电路的编程、下载、调试 一、实验目的: 理解典型电路的特性与设计方法,多点输入对输出的影响。 二、实验内容: 多重输入电路的编程、下载、调试 三、实验步骤: 将上述继电器线路图转化成梯形图并输入PLC,并由此进一步熟悉和掌握编程软件。 通过实验操作,观察输入P00、P01、P02、P03对输出P10的影响。并变换输入和输出接点实验。 P00、P02、P01、P03为常开接点。 当P00、P01接通时,P10亮蓝灯。 P00、P03接通时,P10输出。 P02、P01接通时,P10输出。 P02、P03接通时,P10输出。 P00、P02、P01接通时,P10输出。 P00、P02、P03接通时,P10输出。 P00、P01、P03接通时,P10输出。 P02、P01、P03接通时,P10输出。 P00、P02、P01、P03接通时,P10输出。

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

循环彩灯的设计与制作

湖南工业大学课程设计 资电气与信息工程 料袋 学院(系、部) 2012-2013 学年第一学 期 课程名称电子设计与制作指导教师xx职称讲师 学生姓名x专业班级电信093学号094012003xx 题目循环彩灯的设计与制作 成绩起止日期2012 年11月19日~2012年11月30 日

湖南工业大学 课程设计任务书 2012-2013学年第一学期 电气与信息工程学院(系、部)电子信息工程专业093班级 课程名称:设计题目: 电子设计与制作循环彩灯的设计与制作 完成期限:2012 年11月19日~2012年11月30日共2

指导教师(签字):年月日 系(教研室)主任(签字):年月

日 电子技术课程设计 设 计说明 书 循环彩灯的设 计与制作 起止日期: 2012 年 11 月 19 日~2012 年 11 月 30 日 学 生姓 名 班 级 学 号 成 绩 指 导教 师 ( 签 字 ) xx 电 信 093 xx

电气与信息工程学院(部) 2012年11 月2 日 1、设计任务及要求 要求设计一个循环彩灯,采用8个LED,实现顺序/逆序流水,LED交替频率可调。自行设计电源部分,为电路板提供直流电源。自行设计信号发生部分,为电路板提供工作频率。根据技术指标进行循环彩灯总体方案设计,说明设计思路,选择相应的元器件型号,列出元器件清单,介绍主要芯片的功能,介绍各具体单元电路设计,画出完整的电路原理图、PCB图。 2、设计思路 循环彩灯主要由桥式变压器、整流电路、滤波电路、稳压电路、555定时器、74ls193计数器、3-8译码器等部分组成。首先是将220V交流电通过变压器转换成较小的交流电,通过桥式整流将电压加到直流负载上从而输出直流电压,通过滤波稳压从而实现其稳定的5V直流电压,使555定时器通过调节滑动变阻器实现秒脉冲震荡器,加到计数器的加法或者减法脉冲端口实现8进制计数,通过译码器从而实现循环彩灯功能。 3、各单元电路说明 1>开关模块 通过可调式电阻来控制电压输入,接通时发光二极管D9点亮。 2>电源电路模块

彩灯循环显示控制电路的设计与仿真EWB

南京信息工程大学实验(实习)报告 实验(实习)名称彩灯循环显示控制电路的设计与仿真实验(实习)日期 2012年11月16日得分指导教师裴晓芳 院电子与信息工程专业电子信息工程年级 10级班次2班姓名张蕾学号 20101305066 1.实验目的: 设计彩灯循环控制电路,要求该电路彩灯循环显示频率快慢可调,控制器具有8路输出。 2.实验内容: 彩灯由发光二极管模拟代替,该电路由555定时器、7490计数器和138译码器组成。7490计数器的时钟由555振荡器提供,改变555的振荡频率,即可以改变计数器的快慢,即可以控制彩灯闪烁的快慢。计数器的输出端作138译码器的输入信号,计数器输出不同数据,即可以控制138译码器得到8种不同的输出,控制彩灯的循环变化。 3.实验步骤: (1)根据电路图图1,从EWB元件器库中选择所需元器件,74LS138芯片、7490芯片、555发生器、发光二级管、电阻、电容、+Vdd电平、接地符号等连接电路,根据需要修改参数,完成后其文件名保存文件。 图1 (2)检查电路无误后,运行该电路观察发光二级管的闪烁情况,如图2所示。 图2

(3)改变555的振荡频率,重新运行电路,观察发光二极管的闪烁情况变化。进过实验,将1MΩ的电阻换为1KΩ的电阻,发现其闪烁的频率变快。 (4)给电路添加复位控制,复位按钮闭合彩灯输出,复位按钮断开彩灯熄灭,电路如图3所示,当space=0时,电路复位。 图3 4.实验分析和总结 通过实验,我学会了如何设计一个彩灯循环显示控制电路,掌握了芯片555定时器,7490计数器以及138译码器的工作原理,学会了创新设计,为以后的学习打下基础。

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

循环彩灯电路设计报告

《数字电子技术基础》课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言 (1) 第一部分:设计方案设计 (2) 1.1方案选 择: (2) 1.2功能设计及分析 (2) 1.2.1 时钟信号功能设计............................................... 错误!未定义书签。 1.2.2 花型控制功能设计 (2) 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说明 (4) 第二部分:硬件调试总结 (5) 2.1 元器件清单及说明 (5) 2.2 硬件调试 (9) 第三部分:总结 (10) 3.1 设计小结 (10) 3.2 心得体会 (11) 参考文献 (11) 附录 (12)

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

十六路彩灯控制电路实验报告

山东科技大学电工电子实验教学中心创新性实验研究报告 实验项目名称_16路彩灯控制电路___ 专题____________ 姓名学号_ 姓名学号_ 姓名学号_ 手机Email _ 专业电气工程及其自动化班级___ 指导教师及职称______ 开课学期2011 至_2012 学年_2 _学期提交时间2012 年 6 月28 日

一、实验摘要 设计一种利用发光二极管作为彩灯指示,实现发光二极管四种花样依次点亮,并能够实现这四种点亮方式自动状态切换的彩灯循环控制电路。实验按照效果预设、电路设计、仿真调试、实物实验连接与调试的步骤进行,在实验组三人的分工合作下完成对预定效果的实现。实验原理主要涉及数字电子技术和模拟电子技术知识,要求通过本实验既能加深对原有基础知识的熟悉和掌握,并实现在所学内容的基础上创新性设计和应用。本实验用到的元器件主要包括555定时器、16进制加减计数器、普通16进制加法计数器以及译码器,并以它们为各自核心分别构成了多谐振荡信号输出部分、彩灯状态编码输入部分、彩灯点亮花样切换控制部分和彩灯状态译码输出部分,从而实现在有高电平脉冲输入的情况下彩灯电路自动实现不同的闪烁效果。 二、实验目的 1.学会分析、设计和测试用555计时器构成的多谐振荡器。 2.熟悉掌握16进制计数器的不同工作状态的基本原理并在自主设计下实现不同状态之间的自动转换。 3.实现16个已编号LED灯四种方式的点亮花样的依次循环: ①从1号灯开始依次加法式顺次点亮至第16号灯; ②从16号灯开始依次减法式顺次点亮至第1号灯; ③1号灯和9号灯同时点亮,并且分别以它们为起点依次加法式顺次点亮至第8号和第16号灯,即1号灯点亮并依次加法式顺次点亮至第8号灯的同时9号灯点亮并依次加法式顺次点亮至第16号灯,然后重复一次; ④16号灯和8号灯同时点亮,并且分别以它们为起点依次减法式顺次点亮至第9号和第1号灯,即16号灯点亮并依次减法式顺次点亮至第9号灯的同时8号灯点亮并依次减法式顺次点亮至第1号灯,然后重复一次; 并且以上四种循环点亮方式要实现①→②→③→④的状态自动控制循环。 4.实现两片3线-8线译码器用作4线-16线译码器,并且要在彩灯点亮花样的要求下实现同一时间下的不同工作状态的组合,以控制彩灯不同的点亮或熄灭循环状态。 5.掌握电子电路安装和调试以及故障排除的方法,学会用Multisim软件对电路仿真。 6.通过查阅手册和文献资料,培养分析问题和解决问题的能力,培养创新思维和创新能力。 三、实验场地及仪器、设备和材料:

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

单片机彩灯循环

《单片机原理及应用》课程设计报告 题目:彩灯循环系统 专业:电子信息工程 班级: 学号: 姓名: 指导教师:

一.实验目的: 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二.实验内容: 通过8051单片机实现彩灯循环 三.实验过程: 1.引言 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,便宜的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,。。 本方案提出了一种基于8051单片机的彩灯控制方案,实现对LED彩灯的控制。本方案以8051单片机作为主控核心,在主控模块上设有3个按键和8个码LED显示灯,根据需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为1 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2.8051单片机引脚功能介绍 首先我们来连接一下单片机的引脚图,如果,具体功能在下面都有介绍。单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。 ⒈电源: ⑴ VCC - 芯片电源,接+5V; ⑵ VSS - 接地端; ⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

八路循环彩灯的设计方案

目录 摘要 (2) 第一章系统组成及工作原理 (3) 总体设计思路 (3) 基本原理 (3) 电路框图 (3) 第二章循环发光器的系统组成 (4) ) 555定时电路产生时钟脉冲 (5) 移位寄存器 (6) 方案二 74LS138及192的功能 (7) 第三章循环电路的总体设计 (8) 74LS194组成的电路 (9) 74LS138及74LS192组成的电路 (11) 第四章实验结果的调试及检测 (13) 调试使用的主要仪器 (15) ! 调试技巧的方法 (15) 调试中出现的故障、原因及排除方法 (15) 第五章总结 (17) 第六章附录 (18) 附录一 (18) 附录二 (18) 附录三 (19)

[ 摘要 本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。本次主要为全亮全灭,及左右移动的功能。 关键词:控制、循环、555定时电路 < )

, 彩灯循环控制电路的设计与制作 第一章系统组成及工作原理 总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。 基本原理 本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。 ' 框图 -

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

16路循环彩灯设计报告

循环彩灯控制电路的设计 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

数电彩灯

课程设计报告 课程名称数字电子技术 课题名称彩灯控制器 专业电气工程及其自动化 班级1284班 学号33 姓名汪文龙 指导教师张向华 2014年12月5日

湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:彩灯控制器 专业班级:电气工程及其自动化1284 班 学生姓名:汪文龙学号:33 指导老师:张向华 审批: 任务书下达日期2014年11月24日 设计完成日期2014年12月 5 日

设计内容与设计要求 一、任务与要求: 设计一个彩灯控制器,要求如下: 1.有8只彩灯(用发光二极管代替)。 2.自左至右或顺时针逐次点亮至全亮,然后逐次熄灭至全灭。 3.自右至左或逆时针逐次点亮至全亮,然后逐次熄灭至全灭。 4.闪烁,8只灯同亮、同灭、同亮、同灭。 5. 自主设计一个彩灯花样。 5. 按2、3、4、5循环工作。 二、设计要求: 1.电路设计要求思路清晰,给出整体设计框图和总电路图; 2.单元电路设计,给出具体设计思路和电路; 3.采用EWB、protous、multism中任一软件对电路进行仿真。 4.安装、调试电路; 5.写出设计报告;

主要设计条件 1.提供调试实验室; 2.提供调试面板,元件;

目录 一.设计总体思路,基本原理和框图(总电路图) (6) 1.1、总体思路: (6) 1.2基本原理 (7) 1.3总电路图 (9) 二、单元路设计电 (10) 2.1双向移位寄存器的设计 (10) 2.2二进制计数器与逻辑组合电路的设计... 错误!未定义书签。 三、仿真波形 .................................................... 错误!未定义书签。 3.1彩灯输出波形 ......................................... 错误!未定义书签。 3.2芯片74LS161连接四或门时输出波形.. 错误!未定义书签。 四、安装与调试步骤 (12) 五、故障分析与电路改进 (14) 六、总结与体会 (15) 七、附录(元器件清单) (16) 八、参考文献 (17)

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

相关文档
最新文档