数字基带系统总结

数字基带系统总结
数字基带系统总结

数字基带系统相关知识

传输码的码型选择原则:

①不含直流,且低频分量尽量少;

②应含有丰富的定时信息;

③功率谱主瓣宽度窄;

④能适应于信息源的变化;

⑤码型应具有一定规律性,易于检错;

⑥编译码简单。

1、常见的传输码:(1)AMI码传号交替反转码,Alternative Mark Inversion

三电平码,将1交替地变换为“+1”和“-1”,0保持不变

消息码:0 1 1 0 0 0 0 0 1 1 0 1 001…

AMI码:0 +1 -1 0 0 0 0 0 +1 -1 0 +1 00-1…

(2)HDB3码3阶高密度双极性码3rd Order High Density Bipolar

?HDB3编码规则:

?1、当连“0”数目小于等于3时,“1”码极性交替;

?2、当信码流中连“0”码超过3个时,每4个连“0”码组成

一个破坏节B00V,其中B称为调节脉冲,V称为破坏脉冲(B 可取0、+1、-1;V可取+1、-1);

? 3、最初的V 脉冲取与前面最近的“1”脉冲同极性,以后的V 脉冲之间极性相继交替;

? 4、B 脉冲取值:若B 前面的脉冲与V 极性相同,则B 取0;若B 前面的脉冲与V 极性相反,则B 取与V 同极性脉冲; ? 5、V 码后的“1”码极性也要交替。 ? HDB3码的译码:(1)先找到破坏点V ? (2)将V 符号连同前3个符号强制置“0” ? (3)-1、+1脉冲恢复“1”码

(3)双相码 又称曼彻斯特(Manchester )码 消息码: 1 1 0 0 1 0 1 双相码: 10 10 01 01 10 01 10

(4)密勒码(Miller )双相码的一种变形

编码规则:

“1”码:用码元中心点出现跃变,上跳“10”或下跳“01”

“0”码:单个“0” 电平不变;连“0”时,相邻“0”码的边界处出现电平跃变

111

0000A

-A 0A

-A

A

()

b

(5)CMI 码

传号反转码,Coded Mark Inversion

“1”码:交替用11、00;“ 0”码:固定用01

(6)块编码

将n 个码元编成m 个码元组,以获得码型的同步和检错能力,实际为一种检错编码,一般输入为二进制,输出可取二进制(B )、三进制(T )、四进制(Q ),如nBmB 码,nBmT 码等

2数字基带系统组成

0-A

0A

-A

A -A

2S

T ()

c

发送滤波器传输信道接收滤波器

三、数字调制原理

1、数字调制的优点

? 抗干扰性强

? 纠错能力强

? 具有信号恢复功能,在长距离传输后仍能

? 数据安全性,数据加密功能

? 更高的频谱利用率

? 低成本

? 与数据业务兼容

? 优异的话音

4振幅键控(Amplitude Shift Keying,缩写为ASK)是载波的振幅随着数字基带信号而变化的数字调制。当数字基带信号为二进制时,则为二进制振幅键控(2ASK)。( 可以参考百度百科里振幅监控解释)

5频移键控,英文缩写FSK。频移键控是利用两个不同频率F1和F2的振荡源来代表信号1和0。用数字信号的1和0去控制两个独立的

振荡源交替输出。

对二进制的频移键控调制方式,其有效带宽为B=2xF+2Fb,xF是二进制基带信号的带宽也是FSK信号的最大频偏,由于数字信号的带宽即Fb值大,所以二进制频移键控的信号带宽比较大,频带利用率小。

6四相相移键控信号简称“QPSK”。它分为绝对相移和相对相移两种。由于绝对相移方式存在相位模糊问题,所以在实际中主要采用相对移相方式QDPSK。四相相移调制是利用载波的四种不同相位差来表征输入的数字信息,是四进制移相键控

7 QAM中文全称:正交振幅调制,其幅度和相位同时变化,属于非恒包络二维调制。QAM是正交载波调制技术与多电平振幅键控的结

信号汇合到一个信道

8正交频分复用,英文原称Orthogonal Frequency Division Multiplexing,缩写为OFDM,实际上是MCM Multi-CarrierModulation 多载波调制的一种。其主要思想是:将信道分成若干正交子信道,将

高速数据信号转换成并行的低速子数据流,调制到在每个子信道上进行传输。正交信号可以通过在接收端采用相关技术来分开,这样可以减少子信道之间的相互干扰ICI。每个子信道上的信号带宽小于信道的相关带宽,因此每个子信道上的可以看成平坦性衰落,从而可以消除符号间干扰。而且由于每个子信道的带宽仅仅是原信道带宽的一小部分,信道均衡变得相对容易。

目前OFDM技术已经被广泛应用于广播式的音频和视频领域以及民用通信系统中,主要的应用包括:非对称的数字用户环路(ADSL)、ETSI标准的数字音频广播(DAB)、数字视频广播(DVB)、高清晰度电视(HDTV)、无线局域网(WLAN)等。

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

通信原理实验--数字基带传输仿真实验

数字基带传输实验 实验报告

一、实验目的 1、提高独立学习的能力; 2、培养发现问题、解决问题和分析问题的能力; 3、学习Matlab 的使用; 4、掌握基带数字传输系统的仿真方法; 5、熟悉基带传输系统的基本结构; 6、掌握带限信道的仿真以及性能分析; 7、通过观测眼图和星座图判断信号的传输质量。 二、系统框图及编程原理 1.带限信道的基带系统模型(连续域分析) ?输入符号序列―― ?发送信号―― ――比特周期,二进制码元周期 ?发送滤波器―― 或或 ?发送滤波器输出――

?信道输出信号或接收滤波器输入信号 (信道特性为1) ?接收滤波器―― 或或 ?接收滤波器的输出信号 其中 (画出眼图) ?如果位同步理想,则抽样时刻为 ?抽样点数值为(画出星座图) ?判决为 2.升余弦滚降滤波器 式中称为滚降系数,取值为, 是常数。时,带宽为Hz;时,带宽为Hz。此频率特性在内可以叠加成一条直线,故系统无码间干扰传输的最小符号间隔为s,或无码间干扰传输的最大符号速率为Baud。

相应的时域波形为 此信号满足 在理想信道中,,上述信号波形在抽样时刻上无码间干扰。 如果传输码元速率满足,则通过此基带系统后无码间干扰。 3.最佳基带系统 将发送滤波器和接收滤波器联合设计为无码间干扰的基带系统,而且具有最佳的抗加性高斯白噪声的性能。 要求接收滤波器的频率特性与发送信号频谱共轭匹配。由于最佳基带系统的总特性是确定的,故最佳基带系统的设计归结为发送滤波器和接收滤波器特性的选择。 设信道特性理想,则有

(延时为0) 有 可选择滤波器长度使其具有线性相位。 如果基带系统为升余弦特性,则发送和接收滤波器为平方根升余弦特性。 由模拟滤波器设计数字滤波器的时域冲激响应 升余弦滤波器(或平方根升余弦滤波器)的带宽为,故其时域抽样速率至少为,取,其中为时域抽样间隔,归一化为1。 抽样后,系统的频率特性是以为周期的,折叠频率为。故在一个周期内 以间隔抽样,N为抽样个数。频率抽样为,。 相应的离散系统的冲激响应为 将上述信号移位,可得因果系统的冲激响应。 5.基带传输系统(离散域分析) ?输入符号序列―― ?发送信号―― ――比特周期,二进制码元周期 ?发送滤波器――

通信原理第四章(数字基带传输系统)习题及其答案

第四章(数字基带传输系统)习题及其答案 【题4-1】设二进制符号序列为110010001110,试以矩形脉冲为例,分别画出相应的单极性码型,双极性码波形,单极性归零码波形,双极性归零码波形,二进制差分码波形。 【答案4-1】 【题4-2】设随机二机制序列中的0和1分别由()g t 和()g t -组成,其出现概率分别为p 和(1)p -: 1)求其功率谱密度及功率; 2)若()g t 为图(a )所示的波形,s T 为码元宽度,问该序列存在离散分量 1 s f T =否? 3)若()g t 改为图(b )所示的波形,问该序列存在离散分量 1 s f T =否?

【答案4-2】 1)随机二进制序列的双边功率谱密度为 2 2 1212()(1)()()[()(1)()]() s s s s s s m P f P P G f G f f PG mf P G mf f mf ωδ∞ -∞=--++--∑ 由于 12()()()g t g t g t =-= 可得: 2 2 22 ()4(1)()(12) ()() s s s s s m P f P P G f f P G mf f mf ωδ∞ =-∞ =-+--∑ 式中:()G f 是()g t 的频谱函数。在功率谱密度()s P ω中,第一部分是其连续谱成分,第二部分是其离散谱成分。 随机二进制序列的功率为 2 2 2 2 2 2 22 1()2 [4(1)()(12)()()] 4(1)()(12)() () 4(1)()(12) () s s s s s m s s s s m s s s m S P d f P P G f f P G mf f mf df f P P G f df f P G mf f mf df f P P G f df f P G mf ωω π δδ∞ ∞ ∞ ∞∞ =-∞ ∞ ∞ ∞ ∞∞ =-∞ ∞ ∞ ∞ =-∞ = =-+--=-+ --=-+-? ∑ ?∑ ?? ∑ ? ----- 2)当基带脉冲波形()g t 为 1 (){2 0 else s T t g t t ≤= ()g t 的付式变换()G f 为

数字系统设计与verilog HDL课程设计

数字系统设计与verilog HDL课程设计 设计题目:实用多功能数字钟 专业:电子信息科学与技术 班级:0313410 学号:031341025 姓名:杨存智 指导老师:黄双林

摘要 本课程设计利用QuartusII软件Verilog VHDL语言的基本运用设计一个多功能数字钟,经分析采用模块化设计方法,分别是顶层模块、alarm、alarm_time、counter_time、clk50mto1、led、switch、bitel、adder、sound_ddd、sound_ddd_du模块,再进行试验设计和软件仿真调试,分别实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能。 单个模块调试达到预期目标,再将整体模块进行试验设计和软件仿真调试,已完全达到分块模式设计功能,并达到设计目标要求。 关键字:多功能数字钟、Verilog、模块、调试、仿真、功能

目录 1.课程设计的目的及任务............................................................. 错误!未定义书签。 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (4) 2.课程设计思路及其原理 (4) 3.QuartusII软件的应用 (5) 3.1工程建立及存盘 (5) 3.2工程项目的编译 (5) 3.3时序仿真 (6) 4.分模块设计、调试、仿真与结果分析 (7) 4.1 clk50mto1时钟分频模块 (7) 4.2 adder加法器模块 (7) 4.3 hexcounter16 进制计数器模块 (7) 4.4 counter_time 计时模块 (8) 4.5 alarm闹铃模块 (8) 4.6 sound_ddd嘀嘀嘀闹铃声模块 (9) 4.7 sound_ddd_du嘀嘀嘀—嘟声音模块 (9) 4.8 alarm_time闹钟时间设定模块 (10) 4.9 bitsel将输出解码成时分秒选择模块 (10) 4.10 switch去抖模块 (11) 4.11 led译码显示模块 (11) 4.12 clock顶层模块 (12) 5.实验总结 (13) 5.1调试中遇到的问题及解决的方法 (13) 5.2实验中积累的经验 (14) 5.3心得体会 (14) 6.参考文献 (14) 1.1 课程设计的目的 通过课程设计的锻炼,要求学生掌握V erilog HDL语言的一般设计方法,掌握VerilogHDL语言的基本运用,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,基于实践、源于实践,实践出真知,实践检验真理,培养学生的

通原实验数字基带系统

成绩 西安邮电大学 《通信原理》软件仿真实验报告 实验名称:数字基带系统 院系:通信与信息工程学院 专业班级:通工1005班 学生姓名:郑灏 学号:03101150 (班内序号)04 指导教师:张明远 报告日期:2012年9月8日

●实验目的: 1、熟悉仿真环境; 2、掌握数字基带信号的常用波形与功率谱密度; 3*、掌握奈奎斯特第一准则与码间干扰的消除; 4*、掌握眼图及其性能参数。 ●仿真设计电路及系统参数设置: 1、模拟图一 时间参数:No. of Samples = 4096;Sample Rate = 2000Hz Rate = 100Hz; 双极性码Amp = 10V;单极性码Amp = 10V,Offset = 10V; 功率谱密度选择(dBm/Hz 1 ohm); 用于采样的矩形脉冲序列幅度1V,频率100Hz;脉宽0.005s(占空比50%); 2、模拟图二 图符0为Rate = 100Hz,Amp = 10V的双极性不归零码 通带增益0dB,阻带增益-40dB;

归一化最低截止频率10Hz/2000Hz = 0.005; 归一化最高截止频率190Hz/2000Hz = 0.095; 分别记录信源与信宿的眼图,时间参数如下: Start = 0.02s,Length = 0.05s; 仿真波形及实验分析: 1、记录单、双极性不归零码的波形与功率谱密度 (1)单极性不归零码的波形:矩形波不归零,幅度10V,频率100Hz,Offset=10V (2)单极性不归零码的功率谱密度:第一零点带宽100H z,可看到明显的直流分量和谐波分量

通信原理 数字基带传输实验报告

基带传输系统实验报告 一、 实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、 实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带脉冲输入 噪声 基带传输系统模型如下: 信道信号 形成器 信道 接收 滤波器 抽样 判决器 同步 提取 基带脉冲

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率错误!未找到引用源。o为4 /Ts,滚降系数分别取为0.1、0.5、1, (1)如果采用非匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (2)如果采用匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (1)非匹配滤波器 窗函数法: 子函数程序: function[Hf,hn,Hw,w]=umfw(N,Ts,a)

VHDL与数字系统课程设计

课程设计报告 实践课题:VHDL与数字系统课程设计 学生:XXX 指导老师:XXX、XXX 系别:电子信息与电气工程系 专业:电子科学与技术 班级:XXX 学号:XXX

一、设计任务 用VHDL设计一个简单的处理器,并完成相关的仿真测试。 .设计要求: 图1是一个处理器的原理图,它包含了一定数量的寄存器、一个复用器、一个加法/减法器(Addsub),一个计数器和一个控制单元。 图1 简单处理器的电路图 数据传输实现过程:16位数据从DIN输入到系统中,可以通过复用器分配给R0~R7和A,复用器也允许数据从一个寄存器传通过Bus送到另外一个寄存器。 加法和减法的实现过程:复用器先将一个数据通过总线放到寄存器A中,然后将另一个数据放到总线上,加法/减法器对这两个数据进行运算,运算结果存入寄存器G中,G中的数据又可根据要求通过复用器转存到其他寄存器中。 1)Rx ←[Ry] :将寄存器Ry中的内容复制到Rx; 2)Mvi Rx,#D :将立即数存入寄存器Rx中去。 所有指令都按9位编码(取自DIN的高9位)存储在指令存储器IR中,编编码规则为IIIXXXYYY,III表示指令,XXX表示Rx寄存器,YYY表示Ry寄存器。立即数#D是在mvi指令存储到IR中之后,通过16位DIN输入

的。 有一些指令,如加法指令和减法指令,需要在总线上多次传输数据,因此需要多个时钟周期才能完成。控制单元使用了一个两位计数器来区分这些指令执行的每一个阶段。当Run信号置位时,处理器开始执行DIN输 时间 指令 T0T1T2T3 (mv):I0 (mvi):I1 (add):I2 (sub):I3 IR in IR in IR in IR in RY out,RX in,Done DIN out,RX in,Done RX out,A in RX out,A in ---- ---- RY out,G in,Addsub RY out,G in,Addsub ---- ---- G out,RX in,Done G out,RX in,Done 二、实现功能说明 2.1 mv Rx,Ry 实现的功能:将寄存器Rx的值赋给寄存器Ry(以mv R0, R5为例) (1 )计数器为“00”时,指令寄存器的置位控制信号输入端IRin=1有效,将DIN输入的数据的高9位锁存。 置位的控制信号如图3加粗黑线所示。 图3 (2)计数器为“01”时,首先控制单元根据设计器为“00”时输入的指令,向复用器发出选通控制信号,复用器根据该控制信号让R5的值输出到总线上,然后控制单元控制寄存器R0将总线上的值锁存,完成整个寄存器对寄存器的赋值过程。置位的控制信号和数据流如图4加粗黑线所示。 图4

实验九 数字基带通信系统实验

姓名:班级学号:47 实验九数字基带通信系统实验 一、实验目的 1.掌握时分复用数字基带通信系统的基本原理及数字信号传输过程 2.掌握位同步信号抖动、帧同步信号错位对数字信号传输的影响 3.掌握位同步信号、帧同步信号在数字分接中的作用 二、实验内容 1.用数字信源、数字终端、位同步及帧同步连成一个理想信道时分复用数字基带通 信系统,使系统正常工作。 2.观察位同步信号抖动对数字信号传输的影响。 3.观察帧同步信号错位对数字信号传输的影响。 4.用示波器观察分接后的数据信号、用于数据分接的帧同步信号、位同步信号。 三、基本原理 本实验使用数字信源模块(EL-TS-M6)和数字终端、位同步及帧同步模块(EL-TS-M7)。 1. 数字终端模块工作原理: 原理框图如图7-1所示。它输入单极性非归零信号、位同步信号和帧同步信号,把两路数据信号从时分复用信号中分离出来,输出两路串行数据信号和两个8位的并行数据信号。两个并行信号驱动16个发光二极管,左边8个发光二极管显示第一路数据,右边8个发光二极管显示第二路数据,二极管亮状态表示“1”,熄灭状态表示“0”。两个串行数据信号码速率为数字源输出信号码速率的1/3。 在数字终端模块中,有以下测试点及输入输出点: ? FS-IN 帧同步信号输入点 ? S-IN 时分复用基带信号输入点 ? BS-IN 位同步信号输入点 ? SD 抽样判决后的时分复用信号测试点 ? BD 延迟后的位同步信号测试点 ? FD 整形后的帧同步信号测试点 ? D1 分接后的第一路数字信号测试点 ? B1 第一路位同步信号测试点 ? F1 第一路帧同步信号测试点

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

通信原理------数字基带传输实验报告

基带传输系统实验报告 一、实验目的 1、提高独立学习的能力; 2、培养发现问题、解决问题和分析问题的能力; 3、学习matlab的使用; 4、掌握基带数字传输系统的仿真方法; 5、熟悉基带传输系统的基本结构; 6、掌握带限信道的仿真以及性能分析; 7、通过观察眼图和星座图判断信号的传输质量。 二、实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带传输系统模型如下:

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率Fo为 4 /Ts,滚降系数分别取为、、1,

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固与加深在课程教学中所学到的 知识与实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路 的设计与实验能力,为今后从事生产与科研工作打下一定的基础。为毕业设计与今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试与维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法就是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路 图。 1.总体方案的选择 设计电路的第一步就就是选择总体方案。所谓总体方案就是根据所 提出的任务、要求与性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求与技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求与条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性与优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分 析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求与已选定的总体方案的原理框图,确定对各单元电路 的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元 电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电 路结构、降低成本。

通信原理课程设计 基于MATLAB的数字基带传输系统的研究和分析讲解

塔里木大学信息工程学院通信原理课程设计 2016届课程设计 《基于MATLAB的数字基带传输系统的研究与分 析》 课程设计说明书 学生姓名 学号 所属学院信息工程学院 专业通信工程 班级通信16-1 指导教师蒋霎

塔里木大学教务处制 摘要 本论文主要研究了数字信号的基带传输的基本概念及数字信号基带传输的传输过程和如何用MATLAB软件仿真设计数字基带传输系统。本文首先介绍了本课题的理论依据,包括数字通信,数字基带传输系统的组成及数字基带信号的传输过程。接着介绍了数字基带传输系统的特性包括数字PAM信号功率普密度及常用线路码型,并通过比较最终选择双极性不归零码。然后介绍了MATLAB仿真软件。之后介绍了数字基带信号的最佳接收的条件以及如何通过示波器观察基带信号的波形。最后按照仿真过程基本步骤用MATLAB的仿真工具实现了数字基带传输系统的仿真过程,对系统进行了分析。 关键字:数字基带传输系统MATLAB 计算机仿真;

目录 1.前言 0 2.正文 0 2.1数字基带传输系统 0 2.2 数字基带信号 (1) 2.2.1基本的基带信号波形 (1) 2.2.2基带传输的常用码型 (2) 2.3实验原理 (5) 2.3.1数字通信系统模型 (5) 2.3.2数字基带传输系统模型 (5) 3.1MATLAB软件简介 (6) 3.1.1软件介绍 (6) 3.1.2 Matlab语言的特点 (7) 4.1实验内容 (7) 4.1.1理想低通特性 (8) 4.1.2余弦滚降特性 (8) 4.1.3 Matlab设计流程图 (9) 4.1.4余弦滚降系基于matlab的程序及仿真结果 (9) 致谢 (12) 参考文献 (13) 附录 (14)

VHDL与数字系统课程设计

< 课程设计报告% VHDL与数字系统课程设计 实践课题: 学生: XXX 指导老师: XXX、XXX 系别:电子信息与电气工程系 专业:电子科学与技术 班级: XXX 学号: XXX {

! 一、设计任务 用VHDL设计一个简单的处理器,并完成相关的仿真测试。 .设计要求: 图1是一个处理器的原理图,它包含了一定数量的寄存器、一个复用器、一个加法/减法器(Addsub),一个计数器和一个控制单元。 图1 简单处理器的电路图 数据传输实现过程:16位数据从DIN输入到系统中,可以通过复用器分配给R0~R7和A,复用器也允许数据从一个寄存器传通过Bus送到另外一个寄存器。 》 加法和减法的实现过程:复用器先将一个数据通过总线放到寄存器A中,然后将另一个数据放到总线上,

他寄存器中。 下表是该处理所支持的指令。 1)Rx ← [Ry] :将寄存器Ry中的内容复制到Rx; 2)Mvi Rx,#D :将立即数存入寄存器Rx中去。 所有指令都按9位编码(取自DIN的高9位)存储在指令存储器IR中,编编码规则为IIIXXXYYY,III表示指令,XXX表示Rx寄存器,YYY表示Ry寄存器。立即数#D是在mvi指令存储到IR中之后,通过16位DIN 输入的。 有一些指令,如加法指令和减法指令,需要在总线上多次传输数据,因此需要多个时钟周期才能完成。控制单元使用了一个两位计数器来区分这些指令执行的每一个阶段。当Run信号置位时,处理器开始执行DIN输 二、实现功能说明 mv Rx,Ry 实现的功能:将寄存器Rx的值赋给寄存器Ry(以mv R0, R5为例) (1 )计数器为“00”时,指令寄存器的置位控制信号输入端IRin=1有效,将DIN输入的数据的高9位锁存。置位的控制信号如图3加粗黑线所示。

数字基带系统实验一总结报告

实验一基带传输系统实验 目录: 一、实验目的 (2) 二、实验原理 (2) 三、实验内容 (3) (一)因果数字升余弦滚降滤波器设计 (3) 1) 窗函数法设计非匹配形式的基带系统的发送滤波器 (3) 2) 频率抽样法设计匹配形式的基带系统的发送滤波器 (5) 3) 非匹配形式下窗函数设计法和匹配模式下频率抽样法设计的滤波器第一零点带 宽和第一旁瓣衰减 (7) (二)根据离散域基带系统模型,设计无码间干扰的二进制数字基带传输系统 (7) (三)非匹配模式和匹配模式的无码间干扰的数字基带传输系统测试 (10) 1) 非匹配滤波器无加性噪声系统 (10) 2) 非匹配滤波器和匹配滤波器加加性噪声系统 (12) 四、实验心得 (15) 指导老师:马丕明 班级:通信一班 姓名:石恬静201100120172 蒋金201100120222

一、实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、实验原理 数字通信系统的模型如下图所示: 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 带限信道的数字基带传输系统的传输模型为: 发送滤波器 传输信道 接收滤波器 输入符号序列 {a }l ,其取值为1或-1;每隔一个比特周期Tb 发送一个脉冲信号得到 发送信号()d t ;在匹配形式下,发送滤器和接收滤波器都是平方根升余弦滚降滤波器,在

数字系统设计(课程设计)09

数字系统设计(课程设计) 要求: 1.自选题目:结合自己的工作设计一个数字系统,给出系统的功能要求,再进行设计。 2.设计与调试:用VHDL进行数字系统的设计,运用Modelsim等软件上机仿真、调试,给出实验结果。 3.提交设计报告:给出设计方案,画出设计的总体框图以及功能分割图; 并作相应说明;打印各层次的VHDL文件;给出测试结果。 如无自选题目,可在下面题目中选择。

数字频率计的设计 一、设计目的 1.学习数字系统设计方法。 2.设计一个数字频率计。 二、设计说明 数字频率计是一种常用的测量仪器。“频率”是指周期性信号在单位时间(1S)内变化的次数。若在一定时间间隔T内测得某周期性信号的重复变化次数为N,则该信号的频率为 f=N /T 数字频率计测量频率的原理框图如图(一)所示。 图(一) 脉冲形成电路将被测信号转换为脉冲信号,脉冲信号频率等于被测信号频率fs。时基信号发生器提供标准的时间脉冲信号。门控电路产生计数允许信号,其宽度为单位时间T,如1s、100ms等。闸门电路由计数允许信号进行选通,计数允许信号到达时,被测脉冲信号通过闸门进入计数译码显示电路,计数允许信号结束时,闸门关闭,计数器停止计数,若计数器的计数值为N,则fs=N/T。 三、设计内容 ※(在1、2中选做一项) 1.设计一个三位十进制数字式频率计,测量范围为1Hz~1MHz;量程分10KHz、100KHz、1MHz三档,量程根据信号频率高低作自动转换,采用1秒记忆显示方式, (送入信号应是满足CMOS电路要求的脉冲波或正弦波) 。 读数大于999时,频率计处于超量程状态, 显示器发出溢出指示, 下次测量时, 量程自动增加一档. 读数小于099时,频率计处于欠量程状态, 下次测量时, 量程自动减小一档. 采用记忆显示方式, 计数过程中不刷新数据, 等计数过程结束后, 显示计

实验七:时分复用数字基带通信系统

实验七:时分复用数字基带通信系统 一、实验目的 1.掌握时分复用数字基带通信系统的基本原理及数字信号传输过程。 2.掌握位同步信号抖动、帧同步信号错位对数字信号传输的影响。 3.掌握位同步信号、帧同步信号在数字分接中的作用。 二、实验内容 1.用数字信源模块、数字终端模块、位同步模块及帧同步模块连成一个理想信道时分复用数字基带通信系统,使系统正常工作。 2.观察位同步信号抖动对数字信号传输的影响。 3.观察帧同步信号错位对数字信号传输的影响。 4.用示波器观察分接后的数据信号、用于数据分接的帧同步信号、位同步信号。 三、基本原理 本实验要使用数字终端模块。 1. 数字终端模块工作原理: 原理框图如图7-1所示,电原理图如图7-2所示(见附录)。它输入单极性非归零信号、位同步信号和帧同步信号,把两路数据信号从时分复用信号中分离出来,输出两路串行数据信号和两个8位的并行数据信号。两个并行信号驱动16个发光二极管,左边8个发光二极管显示第一路数据,右边8个发光二极管显示第二路数据,二极管亮状态表示“1”,熄灭状态表示“0”。两个串行数据信号码速率为数字源输出信号码速率的1/3。 在数字终端模块中,有以下测试点及输入输出点: ? S-IN 时分复用基带信号输入点 ? SD 抽样判后的时分复用信号测试点 ? BD 延迟后的位同步信号测试点 ? FD 整形后的帧同步信号测试点 ? D1 分接后的第一路数字信号测试点 ? B1 第一路位同步信号测试点

? F1 第一路帧同步信号测试点 ? D2 分接后的第二路数字信号测试点 ? B2 第二路位同步信号测试点 ? F2 第二路帧同步信号测试点 延迟1延迟2 整形延迟3FS-IN BS-IN S-IN FD FD -7 FD -15 FD -8 FD -16 BD 显示 串/并变换 串/并变换 F2÷3 并/串变换并/串变换 D 2 B1 F1 D 1 SD-D BD 显示 B2 图7-1 数字终端原理方框图 图7-1中各单元与电路板上元器件对的应关系如下: ? 延迟1 U63:单稳态多谐振荡器4528 ? 延迟2 U62:A :D 触发器4013 ? 整形 U64:A :单稳态多谐振荡器4528;U62:B :D 触发器4013 ? 延迟3 U67、U68、U69:移位寄存器40174 ? ÷3 U72:内藏译码器的二进制寄存器4017 ? 串/并变换 U65、U70:八级移位寄存器4094 ? 并/串变换 U66、U71:八级移位寄存器4014(或4021) ? 显示 三极管9013;发光二极管 延迟1、延迟2、延迟3、整形及÷3等5个单元可使串/并变换器和并/串变换器的输入信号SD 、位同步信号及帧同步信号满足正确的相位关系,如图7-3所示。 移位寄存器40174把FD 延迟7、8、15、16个码元周期,得到FD-7、FD-15、FD-8(即F1)和FD-16(即F2)等4个帧同步信号。在FD-7及B D 的作用下,

通信原理数字基带传输系统习题及其答案

第四章(数字基带传输系统)习题及其答案 【题4-1】设二进制符号序列为,试以矩形脉冲为例,分别画出相应的单极性码型,双极性码波形,单极性归零码波形,双极性归零码波形,二进制差分码波形。 【答案4-1】 【题4-2】设随机二机制序列中的0和1分别由()g t 和()g t -组成,其出现概率分别为p 和(1)p -: 1)求其功率谱密度及功率; 2)若()g t 为图(a )所示的波形,s T 为码元宽度,问该序列存在离散分量 1 s f T =否 3)若()g t 改为图(b )所示的波形,问该序列存在离散分量1 s f T =否 【答案4-2】 1)随机二进制序列的双边功率谱密度为 由于 可得: 式中:()G f 是()g t 的频谱函数。在功率谱密度()s P ω中,第一部分是其连续谱成分,第二部分是其离散谱成分。 随机二进制序列的功率为 2)当基带脉冲波形()g t 为 ()g t 的付式变换()G f 为 因此 式中: 1 s s f T = 。 所以,该二进制序列不存在离散分量。 3)当基带脉冲波形()g t 为

()g t 的付式变换()G f 为 因此 式中: 1s s f T = 。 所以,该二进制序列存在离散分量。 【题4-3】设二进制数字基带信号的基本脉冲序列为三角形脉冲,如下图所示。图中s T 为码元宽度,数字信息1和0分别用()g t 的有无表示,且1和0出现的概率相等: 1)求数字基带信号的功率谱密度; 2)能否重该数字基带信号中提取同步所需的频率1 s s f T =的分量若能,计 算该分量的功率。 【答案4-3】 1)由图得 ()g t 的频谱函数()G ω为 由题设可知 所以 代入二进制数字基带信号的双边功率谱密度函数表达式,可得 2)二进制数字基带信号的离散谱分量()v P ω为 当1m =±时,s f f =±,代入上式可得 因为该二进制数字基带信号中存在1s s f T =的离散分量,所以能从该数字基带信号中提取码元同步所需的频率1s s f T =的分量。 该频率分量的功率为 【题4-5】已知信息代码为,求相应的AMI 码、HDB3码、PST 码及双相码。 【答案4-5】 AMI 码: +10000 0000 –1+1 HDB3码; +1000+V-B00-V0+1-1

通信原理实验报告-数字信号的基带传输

通信原理实验报告 实验名称:数字信号的基带传输 班级:08211317 学号:08211660 姓名:张媛(27)

一.实验目的 (1)理解无码间干扰数字基带信号的传输; (2)掌握升余弦滚降滤波器的特性; (3)通过时域、频域波形分析系统性能。 二、仿真环境 SystemView 仿真软件 三、实验原理 (1 )数字基带传输系统的基本结构 它主要由信道信号形成器、信道、接收滤滤器和抽样判决器组成。为了保证系统可靠有序地工作,还应有同步系统。 1.信道信号形成器 把原始基带信号变换成适合于信道传输的基带信号,这种变换主要是通过码型变换和波形变换来实现的。 2.信道 是允许基带信号通过的媒质,通常为有线信道,信道的传输特性通常不满足无失真传输条件,甚至是随机变化的。另外信道还会进入噪声。 3.接收滤波器 滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。 4.抽样判决器 在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。而用来抽样的位定时脉冲则依靠同步提取电路从接收信号中提取。 (2) 奈奎斯特第一准则 奈奎斯特准则提出:只要信号经过整形后能够在抽样点保持不变, 即使其波形已经发生了变化,也能够在抽样判决后恢复原始的信号, 因为信息完全恢复携带在抽样点幅度上。 奈奎斯特准则要求在波形成形输入到接收端的滤波器输出的整个 传送过程传递函数满足: 令k′=j -k , 并考虑到k′也为整数,可用k 表示: ???=+-0)(1])[(0或其它常数t T k j h b k j k j ≠=?? ?=+0 1)(0t kT h b 00≠=k k

Verilog数字系统设计-课程设计报告

Verilog HDL数字系统设计 课程设计 课题:RISC_CPU设计与验证 第一章:RISC_CPU概述(5 1.1课题的由来和设计环境介绍(5 1.2什么是CPU (5 第二章:RISC_CPU结构(6 2.1 RISC_CPU整体结构(6 2.2 时钟发生器(7 2.2.1 时钟发生器的介绍(7 2.2.2 时钟发生器symbol(8 2.2.3 时钟发生器RTL(8 2.2.4 时钟发生器源代码(8 2.2.5 时钟发生器测试代码(9 2.2.6 时钟发生器仿真波形(10 2.3指令寄存器(10 2.3.1 指令寄存器介绍(10 2.3.2 指令寄存器symbol(11 2.3.3 指令寄存器RTL(11

2.3.4 指令寄存器源代码(11 2.3.5 指令寄存器测试代码(12 2.3.6指令寄存器仿真波形(13 2.4 累加器(13 2.4.1 累加器介绍(13 2.4.2 累加器symbol(13 2.4.3 累加器RTL(14 2.4.4 累加器源代码(14 2.4.5 累加器仿真代码(14 2.4.6 累加器仿真波形(15 2.5 算术运算器(15 2.5.1 算术运算器介绍(15 2.5.2 算术运算器symbol(16 2.5.3 算术运算器RTL(17 2.5.4 算术运算器源代码(18 2.5.5 算术元算器测试代码(19 2.5.6 算术运算器仿真波形(20 2.6数据控制器(20 2.6.1 数据控制器介绍(20

2.6.2 数据控制器smybol(20 2.6.3 数据控制器RTL(21 2.6.4 数据控制器源代码(21 2.6.5 数据控制器测试代码(22 2.6.6 数据控制器仿真波形(22 2.7 地址多路器(22 2.7.1地址多路器介绍(22 2.7.2 地址多路器smybol(23 2.7.3 地址多路器RTL(23 2.7.5 地址多路器测试代码(23 2.7.6 地址多路器仿真波形(24 2.8程序计数器(24 2.8.1 程序计数器介绍(24 2.8.2 程序计数器symbol(25 2.8.3 程序计数器RTL(25 2.8.4 程序计数器源代码(25 2.8.5 程序计数器测试代码(26 2.8.6 程序计数器仿真波形(26 2.9 状态控制器(27

实验6.数字基带信号的眼图实验

实验六 数字基带信号的眼图实验 一、实验目的 1、掌握无码间干扰传输的基本条件和原理,掌握基带升余弦滚降系统的实现方法; 2、通过观察眼图来分析码间干扰对系统性能的影响,并观察在输入相同码率的NRZ 基带信号下,不同滤波器带宽对输出信间干扰大小的影响程度; 3、熟悉MATLAB 语言编程。 二、实验原理和电路说明 1、基带传输特性 基带系统的分析模型如图3-1所示,要获得良好的基带传输系统,就应该 图3-1 基带系统的分析模型 抑制码间干扰。设输入的基带信号为()n s n a t nT δ-∑,s T 为基带信号的码元周期,则经过 基带传输系统后的输出码元为 ()n s n a h t nT -∑。其中 1()()2j t h t H e d ωωωπ +∞ -∞ = ? (3-1) 理论上要达到无码间干扰,依照奈奎斯特第一准则,基带传输系统在时域应满足: 10()0,s k h kT k =?=? ? , 为其他整数 (3-2) 频域应满足: ()0,s s T T H πωωω?≤?=? ?? ,其他 (3-3)

图3-2 理想基带传输特性 此时频带利用率为2/Baud Hz ,这是在抽样值无失真条件下,所能达到的最高频率利用率。 由于理想的低通滤波器不容易实现,而且时域波形的拖尾衰减太慢,因此在得不到严格 定时时,码间干扰就可能较大。在一般情况下,只要满足: 222(),s i s s s s i H H H H T T T T T ππ π π ωωωωω?????? +=-+++=≤ ? ? ??????? ∑ (3-4) 基带信号就可实现无码间干扰传输。这种滤波器克服了拖尾太慢的问题。 从实际的滤波器的实现来考虑,采用具有升余弦频谱特性()H ω时是适宜的。 (1)(1) 1sin (),2(1)()1,0(1) 0,s s s s s s T T T T H T T ππαπαωωαπαωωπαω???-+--≤≤?? ???? ?-? =≤≤???+>? ?? (3-5) 这里α称为滚降系数,01α≤≤。 所对应的其冲激响应为: ()222sin cos()()14s s s s t T t T h t t t T T παππα= - (3-6) 此时频带利用率降为2/(1)Baud/Hz α+,这同样是在抽样值无失真条件下,所能达到的最

相关文档
最新文档