百年自动日历(新版)

百年自动日历(新版)
百年自动日历(新版)

百年自动日历(新版)百年自动日历

古今时刻对照表子时夜半23:00-01:00 三更丑时鸡鸣01:00-03:00 四更

寅时平旦03:00-05:00 五更

卯时日出05:00-07:00

辰时食时07:00-09:00

巳时隅中09:00-11:00

午时日中11:00-13:00

未时日映13:00-15:00

申时哺时15:00-17:00

酉时日入17:00-19:00

戌时黄昏19:00-21:00 一更

亥时人定21:00-23:00 二更

数字万年历的制作

数字万年历的制作 数字显示万年历,它采用一枚专用软封装的时钟芯片,驱动15只红色共阳极数码管,可同时显示公历年、月、日、时、分、星期,以及农历月、日,还有秒点显示和整点报时、定时闹钟功能,使用220V市电供电,预留有备用电池座,外形尺寸为长21cm×宽14.5cm×厚3cm,最厚处6cm,适合放置在办公桌面上使用,具有很好的实用性。成品外观如图1所示。 图1 图2 原理简介 电路原理图如图2所示,为了读图方便,连线稍作了简化。从图中可以看出,IC1是一枚专用时钟芯片,Y1是32768Hz的晶振,为芯片提供时基频率信号,经过芯片内部处理后,输出各显示位的驱动信号,经过PNP(8550)型三极管做功率放大后驱动各数码管显示。芯片采用了动态扫描的输出

方式,由于人眼存在视觉暂留现象,且扫描速度比较快,因此看上去所有数码管都是在显示的。这种方式可以有效减少芯片的输出引脚数量,简化了线路,降低了功耗。 在电源部分中,整流二极管VD1~VD4组成了桥式整流电路,将变压器输出的交流电转换为直流电,经C6滤波后,送至三端稳压块7805,输出5V直流稳压电源,为电路供电。VD3和VD8组成互相隔离的供电电路,目的是在市电停电时,后备纽扣电池通过VD3,自动为芯片IC1提供后备电源,保证芯片计时数据不中断。同时由于VD8、VD9的存在,后备电池将不再向数码管供电,以节约后备电池的耗电量。由于芯片自身耗电较低,因此靠纽扣电池也可以维持芯片在很长时间里,内部计时不中断。当市电恢复后,7805输出经过VD8、VD9分别向芯片和数码管供电,由于DV3的存在,且纽扣电池电压为3V,低于7805输出的5V,因此纽扣电池将自动停止供电,7805输出也不会对纽扣电池充电。 VT9是唯一一只NPN(8050)型三极管,用于驱动喇叭,做为整点报时和定闹发声。LED10、LED14是用于秒点显示的发光二极管,LED11和LED12分别是整点报时显示和定闹显示的发光二极管,均为红色。 图3是万年历的全套散件的照片。表1是元器件清单。 图3 表1 元器件清单 序号元件名称参数元件数量序号元件名称参数元件数量 1 电阻10Ω 1 21 三极管8050 1 2 电阻33Ω8 22 三端稳压块7805 1 3 电阻47Ω 3 23 晶振32768Hz 1 4 电阻75Ω7 24 IC1软封装芯片 1 5 电阻100Ω 1 25 0.5’数码管红11 6 电阻150Ω8 26 0.8’数码管红 4

数字电子日历

数字电子日历 学生:王晨 指导教师:廖晓伟. 淮南师范学院电气信息工程系 摘要:随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。本次设计的题目是数字电子日历,电子日历具有性能稳定、精确度高、成本低、易于产品化,以及方便、实用等特点。适用于家庭、公司、机关等众多场所。为人们的日常生活、出行安排提供了方便,成为人们日常生活中不可缺少的一部分。本设计主要设计了一个基于AT89C51单片机的电子日历。能在数码管上进行年、月、日、星期、时、分、秒等自动显示。应用Proteus软件实现了单片机电子日历系统的设计与仿真。该方法仿真效果真实、准确,节省了硬件资源。 关键词:日历;单片机;仿真 Digital Electronic Calendar Student:Wang Chen Instructor:Liao Xiaowei Huainan Normal University Department of Electrical Engineering and Information Abstract:With the rapid development of science and technology, SCM applications are continually deepening, the traditional control test drive at the same time benefit to upgrade the new moon. The design of the subject is a digital electronic calendar, electronic calendar, with stable performance, high accuracy, low cost, easy-to-commercialization, as well as convenient and practical features. For families, companies, institutions, and many other places. For people's daily life, travel arrangement provides a convenient, daily life become an indispensable part. This design mainly designed based on AT89C51 microcontroller electronic calendar. On

excel表格制作日历

excel表格制作日历 2、同时选中B1、C1、D1单元格,按“格式工具栏上的“合并及居中按钮,将其合并成一个单元格,并输入公式:=TODAY()。 选中B1(合并后的)单元格,执行“格式→单元格命令,打开“单元格格式对话框,在“数字标签中的“分类下面选中“日期选项,再在右侧“类型下面选中“二○○一年三月十四日选项,“确定退出,将日期设置成中文形式。 注意:TODAY()函数用于提取当前系统日期,请将系统日期一定要调整准确哟。 3、选中F1单元格,输入公式:=IF(WEEKDAY(B1,2)=7,"日",WEEKDAY(B1,2));选中H1单元格,输入公式:=NOW()。 选中F1单元格,打开“单元格格式对话框,在“数字标签中的“分类下面选中“特殊选项,再在右侧“类型下面选中“中文小写数字选项,“确定退出,将“星期数设置成中文小写形式;选中H1单元格,打开“单元格格式对话框,在“数字标签中的“分类下面选中“时间选项,再在右侧“类型下面选中一款时间格式,“确定退出。 注意:①上述前面一个公式的含义是:如果(IF)当前日期(B1)是星期“7(WEEKDAY(B1,2)=7),则在F1单元格中显示“日,否则,直接显示出星期的数值(WEEKDAY(B1,2))。 ②上述第二个函数(NOW())用于提取当前系统日期和时间,也请将系统日期和时间调整准确。

4、在I1、I2单元格分别输入1900、1901,然后同时选中I1、I2单元格,用“填充柄向下拖拉至I151单元格,输入1900—2050年份序列。 同样的方法,在J1至J12单元格中输入1—12月份序列。 5、选中D13单元格,执行“数据→有效性命令,打开“数据有效性对话框(如图3),按“允许右侧的下拉按钮,选中“序列选项,在“来源下面的方框输入:=$I$1:$I$151,“确定退出。 同样的操作,将F15单元格数据有效性设置为“=$J$1:$J$12序列。 注意:经过这样的设置以后,当我们选中D15(或F15)单元格时,在单元格右侧出现一个下拉按钮,按此下拉按钮,即可选择年份(或月份)数值,快速输入需要查询的年、月值。 6、选中A2单元格(不一定非得是A2哟),输入公式:=IF(F13=2,IF(OR(D13/400=INT(D13/400),AND(D13/4=INT(D13/4),D13/100I NT(D13/100))),29,28),IF(OR(F13=4,F13=6,F13=9,F13=11),30,31)),用于获取查询“月份所对应的天数(28、29、30、31)。 注意:上述函数的含义是:如果查询“月份为“2月(F13=2)时,并且“年份数能被400整除[D13/400=INT(D13/400)],或者(OR)“年份能被4整除,但不能被100整除[AND(D13/4=INT(D13/4),D13/100INT(D13/100))],则该月为29天(也就是我们通常所说的“闰年),否则为28天。 如果“月份不是2月,但是“4、6、9、11月,则该月为30天。 其他月份天数为31天。

带星期功能的数字日历

第1章设计总体思路 1.1设计基本方案介绍 本次数字电子技术课程设计的具体要求有: 1、用五个数码管分别显示月、日、星期。 2、月、日的计数显示均从1开始,并实现大小月份自动调节功能,即日期的计数实现大月31天,小月30天,二月28天。 3、对星期的计数显示从1到6再到日(日用8代替)。 3、对设计的电路进行仿真。 4、在实验室组装实际电路并调试通过。 5、写出设计报告。 基于要求可用两片十进制计数器(74160)级联构成日计数器,控制置数端使其每次从01开始计数(对应每月第一天是1号);从日计数器的输出通过与非门等译出31、30、28这三个信号,再根据月计数器的四个输出端译码输出两个控制端控制74153的数据选通端,选择译出的31、30、28三个信号,同时月计数器加1,当月数为12时输出端用与非门控制其同步置数端置1。同时,星期计数器由一片十进制计数器(74160)构成,控制置数端使其每次从8开始计数(对应每星期第一天是星期日);从星期计数器的输出通过7410(三输入与非门),使其变成一个七进制的星期计数器。星期计数器和日计数器公用一个脉冲。

1.2 基本原理 设计的基本原理为:1、三片74160构成日计数器和星期计数器,74160(1)为高位片,74160(2)为低位片,7410(3)为和三输入与非门构成星期计数器。三片74160的同步脉冲,清零端CLR都接高电平(不清零),74160(2)的D、C、B、A置数端为0001,74160(1)的D、C、B、A置数端为0000,7410(3)的D、C、B、置数端为1000。74160(2)的使能端E NP、ENT接高电平,其进位端RCO接到74160(1)的使能端EN P,EN T接高,两片74160的置数端接到一起,由74153的选通输出信号控制,当日期为31、30或28时,它们的置数端会分别为0,置数后日期低位置为1,高位置为0。2、16进制计数器74161构成月计数器,其脉冲与74160的脉冲是同步的,使能端ENT、A、CL R接高电平,E NP接74160置数端的反,即在日置数时月份加1,其置数输入为0001,置数端LOA D由它的输出端译码控制,即在12月后置1。3、由日计数器译码产生的28、30、31三个信号分别接到74153数据选择器的1C0、1C1、1C2,数据选择器的个1G′接地,选择端A、B与月计数器译码输出两个信号相接,实现BA在2月时输入为10;1、3、5、7、8、10、12月B A为00;4、6、9、11为01,以控制通道选通而实现31、30、28三种不同月数。

数字日历

×××××× 课程设计任务书 课程名称:数字电子技术 题目:具有大小月份自动调节功能的数字日历 专业班级:班 学生姓名:学号: 指导老师: 审批: 任务书下达日期2010年12月6日星期一 设计完成日期2010年12月17日星期五

目录 一、设计总体思路,基本原理和框图 (5) 1.总体思路 (5) 2.基本原理 (5) 3.总体设计框图及电路总图 (6) 3.1 总体设计框图 (6) 3.2 电路设计总图 (7) 二、单元电路设计 (8) 1.计数显示电路的设计 (8) 1.1日期计数显示电路 (8) 1.2 12进制月计数显示电路 (9) 1.3星期计数显示电路 (11) 2.控制选择电路 (12) 三、安装、调试步骤 (14) 1.安装 (14) 2.调试 (14) 四、故障分析与电路改进 (15) 五、总结与设计调试体会 (17) 六、附录(元器件清单) (19) 七、参考文献 (19) 八、课程设计评分 (20)

一、设计总体思路,基本原理和框图 1.总体思路 本数字日历主要由计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份及星期计数器;然后通过译码数码管显示器显示出来,控制调节电路则是利用74LS151四选一数据选择器和组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现大小月份自动调节功能。星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。 2.基本原理 “日期计数器”由两片十进制计数器74LS160同步预置数(高位片置入0000,低位片置入0001)构成,置数端信号由控制电路给出,使其每次从1开始计数(对应每月第一天是1号),从日计数器的输出译出28、30、31这三个信号,将月计数器设置成12进制使其记到12后又从1开始计数。控制电路是由74LS151和组合逻辑电路组成,根据月计数器的输出月份,判断其是大月、小月、还是2月,选择大月这路信号跟31这个信号去控制日计数器的置数端,同时给月计数器的CP端信号使其计数,同样小月选择30这路信号,2月选择28这路信号去控制日计数器的置数端与月计数器的CP端。最后给日计数器的地位片信号源使其计数。同时在脉冲作用下,使星期循环计数,随着日期的变化而变化。

如何用EXCEL表格模板制作日历.doc

今天我们介绍用Excel制作万年历的方法。这个万年历可以显示当月的月历,还可以随意查阅任何日期所属的月历,非常方便。如果你愿意,还可以让它在特殊的日子里显示不同的提醒文字,一起来试试吧! 本文所涉及到的函数有: 1、AND (logical1,logical2, ...) 2、DATE (year,month,day) 3、DAY (serial_number) 4、IF (Logical,Value_if_true,Value_if_false) 5、INT (number) 6、MONTH (serial_number) 7、NOW () 8、OR (logical1,logical2, ...) 1、启动EXCEL2003,新建一个工作表,取名保存(如万年历.xls),并在相应的单元格中,输入如图1所示的文本。 注意:①上述前面一个公式的含义是:如果(IF)当前日期(B1)是星期“7”(WEEKDAY(B1,2)=7),则在F1单元格中显示“日”,否则,直接显示出星期的数值(WEEKDAY(B1,2))。 ②上述第二个函数(NOW())用于提取当前系统日期和时间,也请将系统日期和时间调整准确。

4、在I1、I2单元格分别输入1900、1901,然后同时选中I1、I2单元格,用“填充柄”向下拖拉至I151单元格,输入1900—2050年份序列。 同样的方法,在J1至J12单元格中输入1—12月份序列。 5、选中D13单元格,执行“数据→有效性”命令,打开“数据有效性”对话框(如图3),按“允许”右侧的下拉按钮,选中“序列”选项,在“来源”下面的方框输入:=$I$1:$I$151,“确定”退出。 同样的操作,将F15单元格数据有效性设置为“=$J$1:$J$12”序列。 注意:经过这样的设置以后,当我们选中D15(或F15)单元格时,在单元格右侧出现一个下拉按钮,按此下拉按钮,即可选择年份(或月份)数值,快速输入需要查询的年、月值。 6、选中A2单元格(不一定非得是A2哟),输入公式: =IF(F13=2,IF(OR(D13/400=INT(D13/400),AND(D13/4=INT(D13/4),D13/100<>

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

数字日历电路的设计..

桂林电子科技大学信息科技学院《EDA技术与应用》实训报告 学号 姓名 指导教师: 2012 年 6 月22 日

题目:数字日历电路的设计 1.系统设计 1.1设计要求 1.1.1设计任务 用EDA的方法设计一个数字日历 1.1.2性能指标 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分、秒。 ③数字日历有复位的功能,有校年、月、日和校时、分、秒的功能,通过一个开关来转换时校年、月、日还是校时、分、秒。 ④此数字日历具有闹钟的功能,在6:01将开启闹钟,用一个LED灯表示,可以在任意时刻关闭闹钟。 1.2设计思路及框图 1.2.1设计思路 日历主要由年月日模块和时分秒模块组成,由分频器提供脉冲,再加上一个控制模块实现控制选择校准时分秒还是校准年月日。也可以实现时分秒和年月日的八秒自由转换。再加上一个闹钟,在6:01的时候闹钟开启,并由一个开关控制,在任意时间都可以关掉闹钟。 1.2.2总体设计框图 2各模块程序设计 2.1时分秒计时器模块:

module cnt60(clrn,clk,q,j,cont); //秒和分计时input clrn,clk,j; output reg [7:0] q; output reg cont; always @(posedge clk^j or negedge clrn) begin if(~clrn) q=0; else begin if(q=='h59) q=0; else q=q+1; if(q[3:0]=='ha) begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h59) cont=1; else cont=0;end end endmodule module cnt24(clrn,clk,q,j,cont); //小时计时input clrn,clk,j; output reg [7:0] q; output reg cont; always @(posedge clk^j or negedge clrn)

在EXCEL表格中自动生成不变的日期

在EXCEL表格中自动生成不变的日期? Alt+F11,在“工程”窗口里选择工作表,代码窗口输入下面的代码: Private Sub Worksheet_SelectionChange(ByVal Target As Range) If [a1] <> "" And [b1] = "" Then [b1] = Date End If End Sub 1、在某一单元格中插入日历控件 (1)选中要插入日历控件的单元格,设置单元格格式为日期型; (2)点击工具栏,“插入—对象—日历控件”, (3)用快捷方式Alt+F11,双击插入控件的工作表名称,粘贴下面的代码,用来实现单击A1时弹出日历控件,单击日历控件后,将选中的日期值填入A1并隐藏控件。 Private Sub Calendar1_Click() ActiveCell = Calendar1 Calendar1.Visible = False [a2].Select End Sub Private Sub Worksheet_SelectionChange(ByV al Target As Range) If Target.Address = "$A$1" Then Calendar1.Visible = True End Sub 2、某一列全部设置为插入日历控件。 例如;在C列中,无论点击哪个单元格,就弹出日历控件,选择一个日期后,日期自动插入到单元格;除C列之外,点击其他单元格,不会弹出日历选择控件; 同上面(1)(2)步后,选择日历控件,双击鼠标,进入代码编辑模式,选择Worksheet和SelectionChange,输入代码: Private Sub Calendar1_Click() ActiveCell = Calendar1.V alue Me.Calendar1.V isible = False End Sub Private Sub Worksheet_SelectionChange(ByV al Target As Range) If Target.Column = 1 Then Me.Calendar1.V isible = True Else Me.Calendar1.V isible = False End If End Sub 完成后,运行VBA代码,返回Excel操作窗口,即可实现。 本文来自: 同城老乡论坛(https://www.360docs.net/doc/ca2788299.html,) 详细出处参考:

具有大小月份自动调节功能的数字日历 数字电路 课程设计

课程设计任务书 课程名称:数字电子技术 题目:具有大小月份自动调节功能的数字日历 专业班级:班 学生姓名:学号: 指导老师: 审批: 任务书下达日期2011年6月24日星期一 设计完成日期2011年7月8日星期五

目录 第1章设计总体思路 (1) 1.1 设计要求及设计思路 (1) 1.2 基本原理 (1) 1.2.1 计数显示电路 (1) 1.2.2 控制调节电路 (1) 1.3 总体设计简图及电路总图 (2) 第2章单元电路设计 (3) 2.1 大小月显示电路的设计 (3) 2.2 12进制月电路 (7) 2.3 7进制星期电路 (8) 2.4 大小月逻辑运算电路 (10) 2.5 总电路图 (11) 第3章安装、调试步骤与故障诊断 (12) 第4章故障分析与电路改进 (13) 第5章总结与体会 (14) 附录元件清单 (15) 参考文献 (16)

第1章设计总体思路 1.1设计要求及设计思路 该课题要求设计制作一个具有大小月份自动调节功能的数字日历。由于每一月的天数不尽相同,日计数器要实现当前月对应的天数进制。例如,2月时日期满28天就应向月计数器进位,此时日计数器应为28进制。所以可以用两片74LS160分别做日计数器的高低为,用一片74LS161实现12进制的月计数器。用数据选择器74LS153选择相对于的日进制,实现大小月功能。另外用一片74LS160实现7进制的星期计数。 1.2 基本原理 1.2.1计数显示电路 “日期计数器”采用两片十进制计数器74LS160同步预置数(高位片置入0000,低位片置入 0001)构成,控制置数端使其每次从1开始计数(对应每月第一天是1号),计数脉冲由实验仪上标准方波信号源提供,并通过试验仪上的译码显示器显示出来;“月计数器”采用一片74LS161计数器通过预置数做成十二进制计数器,从而进行1--12月份的累计计数,并通过试验仪上的译码显示器显示出来。 1.2.2控制调节电路 当逻辑电路从日计数器的输出端译出28、30、31三个信号送入数据选择器数据输入端,控制逻辑电路从月份计数器输出端用74ls138译码分出1-12月送入数据选择器地址输入端,数据选择器153根据月计数器输出的月份选择译码电路译出的相应一路信号去控制日期计数器的置数端及月份计数器的时钟,从而实现大小月份自动调节功能,

Excel制作万年历方法(一看就会)

按CTRL,点击我 我们将一步一步教您怎么制作EXCEL万年历! Office 2007是微软Office产品史上最具创新与革命性的一个版本。全新设计的用户界面、稳定安全的文件格式、无缝高效的沟通协作。 Excel是Office套件中的一个重要组件之一。直观的界面、出色的计算功能和图表工具,再加上成功的市场营销,使Excel成为最流行的微机数据处理软件。 日历分为阴历和阳历。阳历的历年为一个回归年,现时国际通用的公历(格里高历)即为太阳历的一种,亦简称为阳历;阴历亦称月亮历,或称太阴历,其历月是一个朔望月,历年为1 2个朔望月,其大月30天,小月29 天;中国的农历就是阴阳历的一种。 如何在Excel实现万年历是对Office能力的综合运用,又是分析问题并进行求解的一系列过程。 2. 分析 2.1 整体构思 在进行整体构思之前,不妨我们先看看多数日历是怎样的情形,其中: 1、上面居中为当前年份。 2、然后为当年的12个月份,至于每行为3个月还是4个月取决于可见界面的大小和美观。 3、总所周知,一周则为7天,每个月最大31天,最小28天;如果1号为周六或周日的话,则该月可能要跨越6周;因此每个月最大的跨越为六周,事实上我们找一下其它日历的模板,就会发现一般都是六周。所以我们可以把每个月视为一个7 *6的单元格集合。

我们将一步一步教您怎么制作EXCEL万年历! 2.2 算法问题 当我们确定了每个月事实上为7*6的单元格集合后,我们接下来要做以下几件事情: 1、如何定位和填充相应的每月的7*6单元格在单纯的Excel表中,我们很难采用逻辑判断和循环的方式获取在7*6单元格集合中的第一个星期一应该是哪一天;但我们知道这个7*6单元格所在月的第一天即1号却是固定的,同样当日期固定后,该日期所在的星期几也是固定的,假设2010-01-01为星期五,那星期一是几号呢?很显然,为2010年1月1号向前推4天即2009年12月28号,星期二则为1月1号向前推3天,以此类推,可以推到整个42个单元格中,只不过超过当月第一天的日期则向后推了。 如果我们换个思路可能更好些,在第一个7*6单元格集合中的首个星期一为2010年1月1号向前推5天即星期五,然后加1天,这也是理所当然的求法,那么星期二则为1月1号向前推5天,然后加2天,以此类推,推倒第42个单元格中则为1月1号向前推5天,然后加42天了,从1到42则为一个整数的序列;这样就变成Excel更擅长的计算方式了。

数电课程设计数字日历电路

题目:数字日历电路 班级: 姓名: 数字日历电路

一、设计任务及要求: 1、用5个数码管分别显示月、日、星期; 2、月、日的计数器显示均从1开始,每月按30天算; 3、对星期的计数显示从1到6再到日(日用8代替)。 二、方案设计与论证: 日历是一种日常使用的出版物,用于记载日期等相关信息。每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。而纸制日历对森林保护不利,因此设计电子日历意义重大。在设计日历倒计时器时,采用了模块化的思想,将日历分为三个部分:日期、月份及年份,使得设计简单、易懂。本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。下面就是我们组设计电子日历的主要思路: 本数字日历电路计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份和星期计数器,然后通过译码器数码管显示出来控制调节电路则用了组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现日期和月份的调节功能。星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。综上,该方案是具体可行的。 三、设计原理及框图: 本数字日历电路主要由五个加计数器160、五个48译码器、显示器、控制开关构成。它们的工作原理是:用两片十进制计数器74LS160同步预置数(高位置入0000,低位置入0001)构成日期计数器,使其每次从一开始计数,从日期计数器的输出三十这个信号使其同时给月计数器的CP端信号使其计数,最后给日计数器的低位以信号源使其计数,同时在脉冲的作用下,使星期循环计数,随着日期的变化而变化。74LS48译码器将信号传给显示器显示数据。

自动数字日历

电路课程设计 实验指导书 ---------《自动数字日历》 北京邮电大学自动化学院 测控技术与仪器教研中心 2009年6月

一、任务和要求 设计一台用数字显示月、日和星期的自动数字日历。 具体要求如下: (1)用七段数码管显示器显示月、日的个位数和星期数;用发光二极管以二进制方式显示月、日的十位数。在不考虑闰年的情况下,在计日脉冲的作用下,自动完成1-12月的月、日及星期的计数和显示。(2)计日脉冲用555时基集成电路产生T=0.5-1秒的脉冲信号代替。 (3)星期数的1-6用数码管显示1-6,而星期日要求数码管显示8,读做日。 (4)可手动置成1月1日,而星期可在1-6日中任意置数。(5)在完成上述电路设计、安装、调试后,可以选做下面功能:将数字日历改为含闰年在内的自动数字日历。 二、可选用的器件 74LS196(或74LS160)3片:二、五、十进制异步(同步)计数器 74LS48 3片:BCD七段译码器、驱动器 74LS04 2片:六反相器 74LS112 2片:负边沿触发双JK触发器 74LS153 1片:双4选1数据选择器 74LS10 1片:三个3输入与非门 74LS20 1片:双4输入与非门 74LS02 1片:四2输入与非门 74LS30 1片:8输入与非门 74LS00 1片:四2输入与非门 555时基电路1片 其他器件为:共阴极七段数码管、发光二极管各3只。10k,30k,50k电阻各1只,330Ω电阻6只。电解电容10μf/16V一个,370μf/16V 两个。电容0.01μf两个,0.02μf一个。 三、仪器设备 数字万用表1块,工具盒1个,5V直流电源1个,实验面包板1块。公用示波器两台。 四、预习及进实验室要求 1.参照附表,熟悉上述所有器件的工作原理和硬件连线;

自动日历表

钦州学院 数字电子技术课程设计报告 自动日历表的设计 院系物理与材料科学学院 专业工业自动化 学生班级 2009级2班 姓名黎国华 学号 0905404216 指导教师单位钦州学院 指导教师姓名张大平 指导教师职称副教授 2012年10月

钦州学院本科课程设计 摘要:根据自动日历表的设计的指标和要求,设计出一个1Hz的脉冲作CP 来实现自动计数日月的自动日历表,其中用二片十进制计数器级联构成日计数器,再用两片十进制计数器级联构成月计数器,且日计数器置数后为1,月计数器在1到12间循环计数。 关键词:自动日历表,电路,设计,调试 设计目的: (1)进一步掌握数字数字电子技术课程所学的理论知识。 (2)熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 (3)了解并熟悉数字电子技术设计的基本思想和方法。 设计技术指标与要求: (1)利用Multisim软件在计算机上完成电路的设计与模拟; (2)利用集成芯片、分立元件在实验室完成具体的电路,并能实现基本功能。

目录 前言 (1) 1 自动日历表 (1) 1.1设计思想 (1) 1.1.1设计方案 (1) 1.1.2设计所需的元件 (1) 1.2设计原理 (5) 1.2.1时钟脉冲产生电路部分 (6) 1.2.2主体控制电路部分 (6) 1.2.3实现逻辑函数电路部分 (7) 1.2.4控流电路部分 (7) 1.2.5显示电路部分 (7) 2电路仿真 (7) 3电路连接测试 (7) 4设计体会 (8) 参考文献 (9)

钦州学院本科课程设计 前言 在我们生活中随处都可以看见的自动日历表,它给人们的生活带来很大的便利。不懂原理的人往往对可以自动计算年月日等计时器惊讶不已,在学习过数字电子技术基础课程之后,就会发现能精确计算时间的计时器其实并没有想象中的那么诡异。在学习了数字电子技术之后,我了解了一些基本集成芯片的功能,在张大平老师的指导下,我们组开始着手于怎样把理论知识运用到实际中去,制作一个自动日历表,它可以用于计算月和日。 1 自动日历表 1.1 设计思想 1.1.1 设计方案 将555定时器构成单稳态触发器,然后利用555定时器和CC4020计数器产生脉冲,通过选用不同的管脚可得到不同时间间隔的脉冲。将脉冲输入到CC40192中,执行减计数,并将CPU接低电平。则每一个脉冲过后,Q0,Q1,Q2,将按111,110,101,100,011,010,001,000顺序依次变化,并如此循环下去。再将CC40192 的输出端接到74LS153的地址输入端,S1接高电平,S2 、S3接低电平,则可执行数据分配器的功能,其中,Y0-Y7为译码输出端。利用74LS20的双四输入与非门功能可实现多种逻辑函数,从而实现各种各样的功能。 1.1.2 设计所需的元件 74LS20×4、74LS153×1、CC4020×1、CC40106×1、CC40192×4、CC4030×1、CC4511×4、555×1、0.01u电容、0.1u电容、100K电位器、电阻、数码管×4、按键开关×2、数字电路实验箱。 (1)74LS20 含有两个互相独立的与非门,每个与非门有四个输 入端。其引脚排列如图1所示。

数字日历设计..

XXXXX学院 《EDA技术与应用》实训报告 数字日历设计 学号 XX 姓名 XX 指导教师:xx

题目:数字日历电路的设计 1概述 通过EDA项目设计,使用Quartus软件进行数字日历电路的设计,能够实现最基本的日期,时间显示功能,并在此基础上进行相应的功能创新,使设计项目拥有更丰富的功能。 1.1设计要求 1.1.1设计任务 用EDA的方法设计一个数字日历 1.1.2性能指标 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2总体设计基本原理及框图 1.2.1基本原理 首先设计要实现年月日和时分秒的显示,再设计定时和整点报时模块,以及校准模块。此外,还要使其具备星期显示功能,则要设计星期模块。最后,就是将这些底层模块连接起来实现整体功能。那么,就需要控制模块,校准模块,显示控制模块等等。 1.2.2总体框图

2 系统软件设计分析 2.1时分秒计时器模块: 分秒模块程序: module cnt60(clk,clrn,j,q,cout); input clk,clrn,j; output reg[7:0] q; output reg cout; always @(posedge clk^j or negedge clrn) begin if (~clrn) q=0; else begin if (q=='h59)q=0; else q=q+1; if (q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if (q=='h59)cout=1; else cout=0;end end

多功能电子日历设计制作

多功能电子日历设计制作 摘要:本设计介绍一种以单片机AT89S52为核心的多功能电子日历进行了研究,在实现了电子日历的主要功能的同时还具备时钟和温度计的功能,采用的时钟芯片和温度传感器都是新型的产品,具有较高的实用价值和研究意义。 关键词:单片机DS1302 DS18B20 LCD1602 一.方案设计思路 1.单片机部分,包括电源,晶体振荡器,复位电路,通讯电路。 2. 时钟芯片和温度传感器,包括时钟芯片和单片机之间进行数据和命令传 输的电路,温度传感器电路。 3. LCD与单片机之间的数据传输和字符显示。 4.用Protues对局部电路和软件进行仿真测试,及时进行修改,避免资源浪费,提高设计效率和准确性。 二.设计原理电路图 系统的电路简图如上所示,整个系统采用AT89S52作为中央处理芯片,用于数据处理,初值设定,显示控制等。单片机上电复位后,从头开始执行程序,时钟频率有外部晶振频率提供。单片机对整个系统运行的控制包括:检测按键信号,判断是否更新时间,分值等;对LCD初始化,写入数据或命令,字符输出到液晶显示等。 三.系统硬件设计实现及原理分析

图表 1 硬件设计电路 图表1为实现电子日历系统所使用的电子元件芯片及具体的连接电路。AT89S52单片机内带有程序寄存器,无须外扩程序存储器,外接12MHz晶振电路和复位电路。 按键电路由四个微动按键组成,分别由P2口的P2.0,P2.1,P2.2,P2.3控制, 通过按键可进行日历年/月/日和时间的时/分/秒的的切换和修改。 线,因而使用方便。本系统利用P1.0口作为I/O口线直接读取温 度值。这种方式比其他温度传感器省去了信号调理、标度转换、 A/D转换等前向通道电路,使电路简化,编程容易,另外它采用 低电压供电,测温范围为-55~+125度,在-10度到+85度范围内 误差为0.5度,这些特点满足在测量室温方面的要求。

自动日历表

钦州学院 数字电子技术课程设计报告自动日历表的设计 院系物理与电子工程系 专业电子信息工程 学生班级 2007级3班 姓名刘标 学号 0705401346 指导教师单位钦州学院 指导教师姓名张大平 指导教师职称副教授 2010年10月

钦州学院本科课程设计 自动日历表的设计 电子信息工程专业2007级谭添兼 指导教师张大平 摘要:根据自动日历表的设计的指标和要求,设计出一个1Hz的脉冲作CP 来实现自动计数日月的自动日历表,其中用二片十进制计数器级联构成日计数器,再用两片十进制计数器级联构成月计数器,且日计数器置数后为1,月计数器在1到12间循环计数。 关键词:自动日历表,电路,设计,调试 设计目的: (1)进一步掌握数字数字电子技术课程所学的理论知识。 (2)熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 (3)了解并熟悉数字电子技术设计的基本思想和方法。 设计技术指标与要求: (1)利用Multisim软件在计算机上完成电路的设计与模拟; (2)利用集成芯片、分立元件在实验室完成具体的电路,并能实现基本功能。

目录 前言 (1) 1 自动日历表 (1) 1.1设计思想 (1) 1.1.1设计方案 (1) 1.1.2设计所需的元件 (1) 1.2设计原理 (5) 1.2.1时钟脉冲产生电路部分 (6) 1.2.2主体控制电路部分 (6) 1.2.3实现逻辑函数电路部分 (7) 1.2.4控流电路部分 (7) 1.2.5显示电路部分 (7) 2电路仿真 (7) 3电路连接测试 (7) 4设计体会 (8) 参考文献 (9)

数字日历电路的设计-EDA实训

成绩 批阅教师 日期 桂林电子科技大学 实训报告 2016-2017学年第1学期 学院海洋信息工程学院 课程 EDA综合实训 姓名钟朝林 学号 1416030218 指导老师覃琴 日期 2016/12/29

实训题目:数字日历电路的设计 1 概述 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历。 1.1.2 性能指标要求 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2 总体设计基本原理及框图 1.2.1 基本原理 日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采 用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分 时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天 的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到 日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还 是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2 和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端, 还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制 下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、 日状态信号送到数码管显示器显示。 1.2.2 总体框图

自动数字日历设计指导书

一、任务和要求 设计一台用数字显示月、日和星期的自动数字日历。 具体要求如下: (1)用七段数码管显示器显示月、日的个位数和星期数;用发光二极管以二进制方式显示月、日的十位数。在不考虑闰年的情况下,在计日脉冲的作用下,自动完成1-12月的月、日及星期的计数和显示。(2)计日脉冲用555时基集成电路产生T=0.5-1秒的脉冲信号代替。 (3)星期数的1-6用数码管显示1-6,而星期日要求数码管显示8,读做日。 (4)可手动置成1月1日,而星期可在1-6日中任意置数。(5)在完成上述电路设计、安装、调试后,可以选做下面功能:将数字日历改为含闰年在内的自动数字日历。 二、可选用的器件 74LS196(或74LS160)3片:二、五、十进制异步(同步)计数器 74LS48 3片:BCD七段译码器、驱动器 74LS04 2片:六反相器 74LS112 2片:负边沿触发双JK触发器 74LS153 1片:双4选1数据选择器 74LS10 1片:三个3输入与非门 74LS20 1片:双4输入与非门 74LS02 1片:四2输入与非门 74LS30 1片:8输入与非门 74LS00 1片:四2输入与非门 555时基电路1片 其他器件为:共阴极七段数码管、发光二极管各3只。10k,30k,50k电阻各1只,330Ω电阻6只。电解电容10μf/16V一个,370μf/16V 两个。电容0.01μf两个,0.02μf一个。 三、仪器设备 数字万用表1块,工具盒1个,5V直流电源1个,实验面包板1块。公用示波器两台。 四、预习及进实验室要求 1.参照附表,熟悉上述所有器件的工作原理和硬件连线;

相关文档
最新文档