基于欠采样技术的软件无线电接收机研究及实现

基于欠采样技术的软件无线电接收机研究及实现
基于欠采样技术的软件无线电接收机研究及实现

软件无线电原理与应用思考题

《软件无线电原理与应用》思考题 第1章 概述 1. 软件无线电的关键思想 答:A/D 、D/A 尽量靠近天线 a) 用软件来完成尽可能多的功能 2. 软件无线电与软件控制的数字无线电的区别 答:软件无线电摆脱了硬件的束缚,在结构通用和稳定的情况下具有多功能,便于改进升级、互联和兼容。而软件控制的数字无线电对硬件是一种依赖关系。 3. 软件无线电的基本结构 答:书上第5页 第2章 软件无线电理论基础 1. 采样频率(fs)、信号中心频率(fo)、处理带宽(B)及信号的最低频率(f L )、最高频率(f H )之间的关系,最 低采样频率满足的条件 答:带通采样解决信号为(f L ~f H )上带限信号时,当f H 远远大于信号带宽B 时,若按奈奎斯特采样定理,其采样频率会很高,而采用带通信号则可以解决这一问题,其采样频率12n 4f 12n )f f (2f 0H L s +=++= ,n 取能满足2B f S ≥的最大正整数,B 2 12n f 0+=。 2. 频谱反折在什么情况下发生,盲采样频率的表达式 答:带通采样的结果是把位于(nB ,(n+1)B )不同频带上的信号都用位于(0,B )上相同的基带信号频谱来表示,在n 为奇数时,其频率对应关系是相对中心频率反折的,即奇数带上的高频分量对应基带上的低频分量,且低频高频对应高频分量。 盲区采样频率的表达式为: S Sm f 12n 22m f ++= m 取0,1,2,3……的盲区,当取n=m+1时,S Sm f )3 2m 11(f +-= 3. 画出抽取与内插的完整框图,所用滤波器带宽的选取,说明信号处理中为什么要采用抽取与内插, 抽取与内插有什么好处 答:抽取内插的框图见24页。其中抽取滤波器带宽D /π,内插滤波器带宽I /π。 图像

软件无线电(个人整理)

1. 软件无线电是什么
无线通信在现代通信中占据着极其重要的位置, 几乎任何领域都使用无线通信, 包括有 商业、气象、金融、军事、工业、民用等。我们可从通信系统、调制方式、多址方式等几方 面可看到无线通信系统种类的繁多。 类 别 通信系统 调制方式 多址方式 种 类
卫星通信系统、蜂窝移动通信系统、无线寻呼系统、短波通信系统、 微波通信系统等 AM、FM、LSB、USB、ISB、FSK、PSK、MSK、GMSK、QAM 等 时分多址(TDMA) 、频分多址( FDMA)和码分多址(CDMA)等
各种通信系统由于自身的特点而适用于各种特定的场合,例如: 短波电台适合远距离,其所需的发射功率不大,传输的“中继系统” —电离层不会被 摧毁;卫星通信能传播高质量的信息,所能提供的频带很宽 微波通信抗干扰能力强,适合大量的数据传输,但只能在点与点之间传输,传输距离 又有一定的限制 由于无线通信的设备简单、便于携带、易于操作、架设方便等特点,在军事和民用通信领域 中都是不可缺的重要通信手段。 然而, 电台往往是根据某种特定的用途而设计的, 功能单一, 有些电台的基本结构相似,而信号特征差异很大。比如,工作的频段不同,调制方式不同, 波形结构不同,通信协议不同,数字信息的编码方式、加密方式不同等等。电台之间的这些 差异极大地限制了不同电台之间的互通互连。 经过几十年的发展, 无线通信已有很大的发展, 通信系统由模拟体制不断向数字化体制过渡, 因此是否可能在数字化体制础上一个电台能满足多调制方式和多址方式, 从而根椐需要构成 多种通信系统呢。 我们先看一下一个数字蜂窝网接收站, 显示在图 1 中。 (注意: 为了说明软件无线电的概念, 这里给出了无线电的接收装置部分) 。
图 1:窄带无线接收装置

软件无线电技术

第四代移动通信技术之软件无线电技术 【摘要】软件无线电是目前无线通信领域在固定至移动、模拟至数字之后的最新革命,其正朝着产业化、全球化的方向发展,将在4G系统中得到广泛应用。本文主要研究软件无线电技术对通信传输的改善以及4G系统中软件无线技术的应用特点等。 一、引言 软件无线电提供了一条满足未来个人通信需要的思路。软件无线电突破了传统的无线电台以功能单一、可扩展性差的硬件为核心的设计局限性,强调以开放性的最简硬件为通用平台,尽可能地用可升级、可重配置不同的应用软件来实现各种无线电功能的设计新思路。其中心思想是:构造一个具有开放性、标准化、模块化的通用硬件平台,将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成,并使宽带A/D和D/A转换器尽可能靠近天线,以研制出具有高度灵活性、开放性的新一代无线通信系统。 图一、软件无线电原理框图 1 二、简介 软件无线电(SWR)技术是近年来提出的一种实现无线通信的新的体系结构,它的基本概念是把硬件作为无线通信的基本平台,而把尽可能多的无线通信及个人通信功能用软件实现。 1、WLAN与蓝牙融入广域网 近年来各国都在积极进行4G的技术研究,从欧盟的WINNER项目到我国的“FuTURE计划”都是直接面向4G的研究。 日本对4G技术的研究在全球范围内一直处于领先地位,早在2004年,运营商NTTdocomo就进行了1Gbit/s传输速率的试验。目前还没有4G的确切定义,但比较认同的解释是:4G采用全数字技术,支持分组交换,将WLAN、蓝牙技术等局域网技术融入广域网中,具有非对称的和超过100Mbit/s的数据传输能力,同时,因为采用高度分散的IP网络结构,使得终端具有智能和可扩展性。

软件无线电发展现状

<<移动通信>.>>2002年第 4期 软件无线电发展现状 罗序梅信息产业部电子七所 1 前言 — 软件无线电是实现无线通信新体系结构的一种技术,在经过近几年的发展之后,其重要性和可 行性正逐步被越来越多的人所认识和接受。软件无线电技术的重要价值体现在:硬件只是作为 无线通信的基本平台,而许多的通信功能则是通过软件来实现的,这就打破了长期以来设备的 通信功能实现仅仅依赖于硬件的发展格局。所以有人称,软件无线电技术的出现是通信领域继 固定到移动,模拟到数字之后的第三次革命。本文主要介绍全球软件无线电技术研究动态、对 实现软件无线电台至关重要的器件技术的发展以及软件无线电台商用前景。 2 全球软件无线电技术研究动态 软件无线电技术具有结构的开放性、软件的可编程性、硬件的可重构性以及功能和频段的… 多样性等特点,无论在军事还是在商用通信中都有着巨大的应用潜力。也正是因为这些独特的 优势,引发了全球对软件无线电技术的关注和研发热潮。除美国在 90年代初开始实施易通话计 划并成功地研制出多功能多频段电台外,欧洲、日本、中国等全球其它地区也纷纷开展了各自 的软件无线电技术项目。 欧洲委员会已将软件无线电技术列为重要的研发项目,大量与软件无线电技术相关的研究项目正在其 ACTS计划中进行。受潜在的商业利益所驱动,其研究重点集中在第三代标准上, 这包括 FIRST(灵活的综合无线电系统和技术)、FRAMES(未来无线电宽频段多址系统)和 · SORT等项目。前两个项目利用软件无线电台样机研究开发下一代无线接口。其中

FIRST项目 主要是评估实现软件重构空中接口的问题。目前最公开的工作集中在 RF结构最佳划分方法及 数字处理的实现上。 SORT主要是开展有关第三代系统( UMTS)在地面和卫星接入方面的硬件 重构问题的研究,演示灵活而有效的软件可编程电台,实施该项目的目标是:

信道化技术在软件无线电接收机中的应用

信道化技术在软件无线电接收机中的应用 姚 澄!朱灿焰!杨会保 " 苏州大学电子信息学院江苏苏州 #$%&#$’ 摘 要(软件无线电是目前通信领域研究的热点!其关键技术之一的数字中频技术则是多速率信号处理理论的典型应用) 介绍了一种基于多相滤波的数字信道化技术在软件无线电接收机中的应用!利用离散傅里叶变换"*+,’的成熟理论和多相滤波的灵活处理!在接收机的数字中频段提出了一种高效的处理结构!对其原理-性能和特点进行了深入地探讨和研究!较好地解决了当前无线通信中硬件速度和高速数据流不匹配的问题)计算机模拟结果证明了处理结构的可行性和有效性) 关键词(软件无线电.信道化.多相滤波器组.离散傅里叶变换中图分类号(,/0 $$文献标识码(1 文章编号($&&23435" #&&%’&4&$4&367789:;<9=>=?@A ;>>B 89C B DE B :A >=8=F G 9>B DL ;D 9= M N O P Q R S T !U V W P X S Y X S !M N /Z V [\]X ^ "_‘Q ^^a ^b c a R ‘d e ^S \‘f S b ^e g X d \^S !_^^‘Q ^h W S \i R e j \d Y !_[k Q ^[!#$%&#$!P Q \S X ’l m n o p q r o (,Q R_^b d h X e R*R b \S R s t X s \^"_*t ’Q X j]R ‘X g Rd Q Rb ^‘[j^be R j R X e ‘Q \S ‘^g g [S \‘X d \^S j u *\T \d X af S d R e g R s \X d R +e R v [R S ‘Y "f +’X j ^S R^b \d j w R Yd R ‘Q S ^a ^T \R j !\j Xd Y x \‘X a X x x a \‘X d \^S^b g [a d \e X d Rj \T S X a x e ^‘R j j \S Td Q R ^e Y u ,Q RX x x a \‘X d \^S^b X s \T \d X a ‘Q X S S R a \k R sd R ‘Q S \v [Rb ^e_*t e R ‘R \i R e j\j\S d e ^s [‘R s\Sd Q \jx X x R e u 1X j R s^Sd Q Rg X d [e Rd Q R ^e Y^bd Q R*\j ‘e R d R+^[e \R e ,e X S j b ^e g "*+,’X S s d Q R b a R y \]\a \d Y ^b d Q R x ^a Y x Q X j R b \a d R e ]X S ws R ‘^g x ^j \d \^S !X SR b b \‘\R S d x e ^‘R j j \S T X e ‘Q \d R ‘d [e R \j x e R j R S d R s \Sd Q R s \T \d X a f +x X e d !\d j x e \S ‘\x a R !x R e b ^e g X S ‘R X S s‘Q X e X ‘d R e \j d \‘X e R s R R x a Ys \j ‘[j j R sX S sj d [s \R s u ,Q R g R d Q ^sT \i R j X]R d d R e j ^a [d \^S^b d Q Rg \j g X d ‘Q]R d h R R Sd Q Ra ^h R e Q X e s h X e Rj x R R sX S sQ \T Qs X d Xe X d R^b d ^s X Y z jh X e R a R j j‘^g g [S \‘X d \^S j u +\S X a a Yj \g [a X d \^Se R j [a d j j Q ^h d Q R R b b \‘\R S ‘Y^b d Q \j x e ^x ^j R sX e ‘Q \d R ‘d [e R u {|}~!p "n (_^b d h X e R *R b \S R st X s \^"_*t ’.‘Q X S S R a \k \S T .x a ^Y x Q X j R b \a d R e ]X S w .*\j ‘e R d R +^[e \R e ,e X S j b ^e g "*+,’ 收稿日期(#&&2$#$2#引 言 软件无线电是近些年来崭露头角的新技术!他代表包括无线通信在内的几乎所有的无线电电子信息系统的发展趋势)为适应其发展!有必要对基于滤波器组的信道化方法进行研究) 理想的软件无线电结构$ $% 在射频直接采样数字化!其核心思想就是将N &*!*&N 变换器尽量靠近天线!在对信号充分数字化的基础上依靠软件来实现无线电的各项功能)但是现阶段!由于受微电子技术水平的限制!直接对射频"t + ’进行采样还很难实现!成本上亦不合算)所以!在目前的软件无线电研究中!大部分都是首先将射频信号转换到中频!然后在中频对模拟信号进行数字化)数字中频软件无线电加上少量的高频模拟前端正逐渐成为理想 软件无线电的一种经济实用的选择$#%)中频软件无线电接 收机的结构如图$所示) 对于单一信道而言!使用宽带N &*!*_’和通用P ’W 的软件无线电方法比传统的使用硬件集成的技术要昂贵的多!而目前多通道接收机"数字下变频器’已有上市!如 f S d R e j \a 公司"原V X e e \j 公司的半导体部分’的V _’ %&#$(!Z e X Y P Q \x 公司的Z P 2&$(!N S X a ^T *R i \‘R j 公司的N *((#2和_^b d P R a a 等)但这些接收机的主要问题是!必须事先确知在哪个信道上有信号!或者用一个全景接收机对整个频 段进行搜索和监视以确定信号的位置$3%)然而!如果搜索 速度不够快! 就会产生漏警现象以至于无法进行全概率的信号截获)本文所讨论的基于滤波器组的信道化接收机就是能够完成全概率信号截获的接收机) 图$中频宽带接收机实现框图 )信道化接收机 信道化接收机瞬时频带宽-动态范围大!能实现超宽带侦察)传统的技术是采用模拟电路来实现信道化!即(用模拟滤波器组把侦察频率范围分割为许多邻接的信道!如图#所示) 显然!当瞬时频带很宽时!需要非常多的滤波器!接收机将变得非常庞大)而在软件无线电信道化技术中!则充分利用数字信号系统精确-灵活-造价低-速度快的优 4 $*现代电子技术+#&&%年第4期总第$0,期-通信与信息技术 . 万方数据

软件无线电技术的发展应用探究

软件无线电技术的发展应用探究 软件无线技术相对于传统的“纯硬件电路”具有非常大的优越性,以硬件为基础,软件在可以在此之上扩展更多的通信功能,使得设备的通信功能不再硬件锁限制,并且可以大大简化设备的硬件复杂程度,提升其可靠性、维护性,耐用性,并且由于软件的可升级性以及更加优良的兼容性,因此可以大大降低开发、生产、升级换代和维护成本。软件无线电技术是通信领域的第三次革命,前两次模拟通信和数字通信。目前新技术的发展重点基本都已开始转移软件之上。文章就软件无线电技术的发展和应用进行一些详细的探讨。 标签:软件无线电;软件无线电发展;软件无线电应用 1 软件无线电各个系统的作用 1.1 软件无线电技术与传统无线电技术的区别 软件无线电与软件控制无线电的区别在于软件无线电是开放并且标准化的,因此研究更加容易也更加灵活,设备具有的功能不再主要依赖系统的构架和硬件,转而开始依赖软件环境,通过改变软件来改变功能,使得系统、功能的升级或是不同系统间的兼容变得更加简单,升级换代所需要的时间大大缩短。而数字无线电主要依赖于硬件和系统结构的发展,使得环境更加封闭,不利于推广交流,一旦出现问题,需要花费相当多的人力、物力以及时间。 1.2 软件无线电技术硬件平台解析 软件无线电是一个标准化、开放式的平台,以硬件作为基础,将编写好的指令预先录入,用以操纵硬件进而实现尽可能多的无线通信功能,可以通过改变软件的方式改变软件无线电所具有的功能,并可因此减少硬件模块的数量和复杂程度,所具备的灵活性、集中性、维护性无可比拟。一个典型的软件无线电需要以下的硬件系统:射频、中频、基带、信源、信令,软件部分则为数字信号处理器(DSP),DSP通过录入程序,可以对带宽、频率、调制模式、信源解码等进行控制,因此DSP处理性能的强弱直接影响通信功能的数量和质量。通过录入程序,DSP控制各个系统,实现无线电软件具体化。 1.2.1 天线 天线是保证信号的基础,理论上天线最好应该能覆盖全部的通信频段,但在实际应用中,并不能做到覆盖如此多的频段,更多的时候需要能保证完美适配软件所需的、线性性能较好的频段,使用组合式多频段天线,通过测试自动寻找干扰较小,流量宽松的频段,因此就有多频段天线和宽带天线,其二者都可以为软件无线电技术提供信号的保障,而区别主要在于多频段可在分离的不同频段上工作,而宽带则意味着是连续的宽频。而调频、信号接收、算法优化仍然是天线在无线电技术中的关键。

FPGA在软件无线电中的应用

Altera中文资料 FPGA在软件无线电中的应用 介绍 软件无线电(SDR)是具有可重配置硬件平台的无线设备,可以跨多种通信标准。它们因为更低的成本、更大的灵活性和更高的性能,迅速称为军事、公共安全和商用无线领域的事实标准。SDR成为商用流行的主要原因之一是它能够对多种波形进行基带处理和数字中频(IF)处理。IF处理将数字信号处理的领域从基带扩展到RF。支持基带和中频处理的能力增加了系统灵活性,同时减小了制造成本。 基带处理 无线标准不断地发展,通过先进的基带处理技术如自适应调制编码、空时编码(STC)、波束赋形和多入多出(MIMO)天线技术,支持更高的数据速率。基带信号处理器件需要巨大的处理带宽,以支持这些技术计算量的算法。例如,美国军事联合战术无线系统(JTRS)定义了军事无线中20多种不同的无线波形。一些更复杂的波形所需的计算能力在标准处理器上是每秒数百万条指令(MIPS),或者如果在FPGA实现是数千个逻辑单元。 协处理器特性 SDR基带处理通常需要处理器和FPGA。在这类应用中,处理器处理系统控制和配置功能,而FPGA实现大计算量的信号处理数据通道和控制,让系统延迟最小。当需要从一种标准切换至另一种标准时,处理器能够动态地在软件的主要部分间切换,而FPGA 能够根据需要完全重新配置,实现特定标准的数据通道。 FPGA可以作为协处理器同DSP和通用处理相连,这样具有更高的系统性能和更低的系统成本。自由地选择在哪实现基带处理算法为实现SDR算法提供了另一种方式的灵活性。 基带部件也需要足够灵活让所需的SDR功能支持在同一种标准增强版本之间的移植,

并能够支持完全不同的标准。可编程逻辑结合软核处理器和IP,具有了提供在现场远程升级的能力。图1 是一个框图,其中FPGA能够通过IP功能如Turbo编码器、Reed-Solomon编码器、符号交织器、符号映射器和IFFT,很容易地重配置支持WCDMA/HSPDA或802.16a标准的基带发送功能。 图1. 两种无线信号的SDR基带数据通道重配置例子 数字IF处理 数字频率变化具有比传统模拟无线处理方式更高的性能。FPGA提供了一种高度灵活和集成的平台,在这之上以合理的功率实现大计算量的数字IF功能,这在便携系统中是一个关键的因素。能够在FPGA实现的IF功能包括数字上变频器(DUC)和下变频器(DDC),以及数字预畸变(DPD)和波峰系数削减(CFR),帮助降低功放的成本和功率(见图2)

软件无线电的应用

软件无线电的应用 软件无线电的应用 摘要:软件无线电技术正日益广泛地应用于现代通信的各个领域。 关键词:软件无线电;数字信号处理;调制解调;数字广播;世界数字广播 软件无线电是随着计算机技术、高速数字处理技术的迅速发展而发展起来的,其基本思想就是将宽带A/D/A变换器尽可能地靠近天线,将电台的各种功能尽量在一个开放性、模块化的平台上由软件来确定和实现。该平台的调制方式、码速率、载波频率、指令数据格式、调制码型等系统工作参数具有完全的可编程性 1 用软件无线电技术实现卫星控制平台 传统的卫星测控平台存在着性能不完善,调制方式、副载波、码速率组态不灵活,体积偏大等问题。研制和开发通用化、综合化、智能化的测控平台,通过注入不同的软件,实现对调制载频、调制方式、传输码速率等参数的改变,应用于各种轨道卫星平台的遥测遥控任务。 软件无线电技术正日益广泛地应用于现代通信的各个领域。随着A/D/A器件与DSP处理器的迅速发展,使得软件无线电技术广泛地应用于陆上移动通信、卫星移动通信与全球定位系统等。 用软件无线电技术实现卫星控制平台包括软件无线电通用平台 的DSP技术和DSP实现信号调制和解调。其中软件无线电通用平台的DSP技术又包括 TMS320C6701 DSP芯片,DSP技术在软件平台中的应用,调制器与解调器。DSP实现信号调制和解调又包括信号调制,信号解调。 软件无线电通用测控平台是卫星测控平台发展的方向,可以很好地解决原来平台开发成本高、周期长、通用性差的问题。以新一代DSP芯片TMS320C6000作为软件无线电平台的核心,可以很好地满足需要,且有较大的冗余度,利用升级。

软件无线电技术论文

软件无线电技术 摘要:现行的面向具体用途来设计不同频段、不同制式的无线电通信电台及组网的思想已经远远不能满足现代无线电通信的实际需要,因此软件无线电系统及其技术,这种革新的通信理念与体制应运而生。文章对软件无线电技术的概念、功能和关键技术等进行了介绍,并阐述了软件无线电的应用和发展前景。 一.引言 软件无线电是近些年来随着微电子、信号处理、计算机等技术的高速发展应运而生的一种新的无线电技术。它最初起源于军事通信,是为了解决多军联合作战时通信互通互联问题而提出来的。经过这几年的迅速发展,软件无线电早已从军事领域的阶段逐步发展成为移动通信发展的基石,特别是第3、4代移动通信系统。个人移动通信系统已从第一代模拟蜂窝系统发展到第二代数字蜂窝系统(GMS、CDMA),目前正在向第三代移动通信系统发展,而且第四代移动通信技术也已经悄然问世。随着越来越大的通信需求,一方面使通信产品的生存周期缩短,开发费用上升;另一方面,新老体制共存,各种通信系统之间的互联变得更加复杂和困难、由于通信技术的迅猛发展,新的通信体制与标准不断提出,通信产品的生存周期减少,开发费用上升,导致以硬件为基础的传统通信体制无法适应新的局面;同时,不同体制互通的要求日趋强烈,并且随着通信业务的不断增长,无线频段资源变得越来越拥挤,对现有通信系统的频带利用率及抗干扰能力提出了更高的要求。但是沿着现有通信体制的发展,很难对频带重新规划。所以寻求一种既能满新一代通信系统需求,由能兼容老体制,而且更具有扩展能力的新的个人移动通信系统体系结构成为人们努力的方向。而软件无线电正好提供了解决这一问题的技术途径成为第三代移动通信系统研究的热点。 二.软件无线电的概念及特性 软件无线电技术将硬件、软件、无线技术有机地结合在一起,组成灵活多样的多功能系统。它的基本思想是以一个通用、标准、模块化的硬件平台为依托,从通过软件编程来实现无线电台的各种功能,从基于硬件、面向用途的电台设计方法中解放出来。功能的软件化实现势必要求减少功能单一的、灵活性差的硬件电路,尤其是减少模拟环节,把数字化处理(A/D和D/A转换)尽量靠近天线。软件无线电强调体系结构的开放性和全面可编程性,通过软件更新改变硬件配置结构,实现新的功能。软件无线电采用标准的、高性能的开放式

软件无线电(software radio)

概要 软件无线电的基本思想是以一个通用、标准、模块化的硬件平台为依托,通过软件编程来实现无线电台的各种功能,从基于硬件、面向用途的电台设计方法中解放出来。功能的软件化实现势必要求减少功能单一、灵活性差的硬件电路,尤其是减少模拟环节,把数字化处理(A/D和D/A变换)尽量靠近天线。软件无线电强调体系结构的开放性和全面可编程性,通过软件更新改变硬件配置结构,实现新的功能。软件无线电采用标准的、高性能的开放式总线结构,以利于硬件模块的不断升级和扩展。 软件无线电(software radio)在一个开放的公共硬件平台上利用不同可编程的软件方法实现所需要的无线电系统。简称SWR。理想的软件无线电应当是一种全部可软件编程的无线电,并以无线电平台具有最大的灵活性为特征。全部可编程包括可编程射频(RF)波段、信道接入方式和信道调制。 一般说来,SWR就是宽带模数及数模变换器(A/D及D/A)、大量专用/通用处理器、数字信号处理器(Digital Signal Proicesser,DSP)构成尽可能靠近射频天线的一个硬件平台。在硬件平台上尽量利用软件技术来实现无线电的各种功能模块并将功能模块按需要组合成无线电系统。例如:利用宽带模数变换器(Analog Digital Converter,ADC),通过可编程数字滤波器对信道进行分离;利用数字信号处理技术在数字信号处理器(DSP)上通过软件编程实现频段(如短波、超短波等)的选择,完成信息的抽样、量化、编码/解码、运算处理和变换,实现不同的信道调制方式及选择(如调幅、调频、单边带、跳频和扩频等),实现不同的保密结构、网络协议和控制终端功能等。 在目前的条件下可实现的软件无线电,称做软件定义的无线电(Software Defin ed Radio,SDR)。SDR被认为仅具有中频可编程数字接入能力。 发展历史无线电的技术演化过程是:由模拟电路发展到数字电路;由分立器件发展到集成器件;由小规模集成到超大规模集成器件;由固定集成器件到可编程器件;由单模式、单波段、单功能发展到多模式、多波段、多功能;由各自独立的专用硬件的实现发展到利用通用的硬件平台和个性的编程软件的实现。 20世纪70~80年代,无线电由模拟向数字全面发展,从无编程向可编程发展,由少可编程向中等可编程发展,出现了可编程数字无线电(PDR)。由于无线电系统,特别是移动通信系统的领域的扩大和技术复杂度的不断提高,投入的成本越来越大,硬件系统也越来越庞大。为了克服技术复杂度带来的问题和满足应用多样性的需求,特别是军事通信对宽带技术的需求,提出在通用硬件基础上利用不同软件编程的方法。20世纪80年代初开始的软件无线电的革命,将把无线电的功能和业务从硬件的束缚中解放出来。 1992年5月在美国通信系统会议上,Jeseph Mitola(约瑟夫·米托拉)首次提出了“软件无线电”(Software Radio,SWR)的概念。1995年IEEE通信杂志(Comm unication Magazine)出版了软件无线电专集。当时,涉及软件无线电的计划有军用的SPEAKEASY(易通话),以及为第三代移动通信(3G)开发基于软件的空中接口计划,即灵活可互操作无线电系统与技术(FIRST)。

软件无线电实验报告

软件无线电 实验报告 姓名: 学号: 班级: 同组人: 指导教师: 院系:电子与信息工程学院 2 0 1 2 年11 月

实验一 Matlab 语言与SDR 采样理论 一、实验名称 Matlab 语言与SDR 采样理论 二、实验内容 (1)、SDR 低通采样理论 用Matlab 软件编写程序验证奈奎斯特采样理论。Nyquist 采样定理的大概意思是:如果 对某一时间连续信号(模拟信号)进行采样,当采样速率达到一定数值时,根据这些采样值就能准确地确定原信号。更进一步说,当采样频率fs 大于等于原始信号最大频率的2倍时,就能不失真的恢复原信号。 (2)、SDR 带通采样理论 用Matlab 软件编写程序验证带通信号采样理论。Nyquist 采样定理只讨论了频谱分布在(0,H f )上的基带信号采样问题。当信号的频率分布在某一有限的频带(L f ,H f )时,就需要带通采样理论来设定采样频率fs 。带通中心频率为o f ,频带宽度为B,则采样频率为1 24f s += n f o 。 三、实验步骤 (1)、SDR 低通采样理论 1)、设置信号的频率为f=5e3Hz ,采样频率为fs=5e4Hz ,这里保证了fs 是f 的2倍以上。 2)、设置采样点的个数,N=1024。 3)、画出信号的时域图像。 4)、画出采样后信号的频域图像。 (2)、SDR 带通采样理论 1)、设置基带信号的频率为f=1.3e3Hz 。 2)、设置载波信号的频率为fo=100e6Hz 。 3)、采样点个数N=1024。 4)、设置采样频率fs=4000Hz 。 5)、画出带通信号的时域图像,采样后的时域图像以及频域图像。 四、实验结果 (1)、SDR 低通采样理论

软件无线电的现状和发展趋势

□潘子欣刘毅 一、引言 移动通信在过去几十年中获得了飞速发展,成为现代通信中的一个亮点。同时由于移动通信的迅速发展和高收益,带来了激烈的竞争,从而造就了移动通信技术和系统的多样性,而各技术标准和系统之间差别很大又不能互相兼容。特别是新业务的巨大吸引力又给用户和移动业务提供商造成了很大的压力,迫使他们不断更新设备,可是这通常要造成设备和投资的浪费。问题的关键在于目前的绝大多数移动通信设备是完全基于专用硬件设计的,给移动通信系统的兼容和并联,以及快速、灵活的升级带来了很大的约束。此外通信设备制造商在研制新产品时,由于种种因素的制约,其设置的产品可能会存在缺陷,以致在产品售出后不得不重新召回,增加了产品的制造成本和设计周期。而软件无线电确能很好解决这些问题。 二、软件无线电的概念及其特点 软件无线电(SoftwareDefinedRadio,SDR)是二十世纪90年代初提出的通信新技术,它的基本思想是将标准化、模块化的硬件功能单元,通过高速总线或高速网络等连接形成一个通用的数字式硬件平台,再通过软件加载的方式来实现各种类型无线通信系统的开放式体系结构,用软件方式实现各种通信功能。并且能通过对软件的重新编程来实现系统的升级更新和适应不同的通信标准和协议。 由于软件无线电技术具有通用性广、可移植性好、适应性强等优点,在军用电台方面得到迅速的发展和应用。近些年,随着第三代移动通信(3G)系统的发展,软件无线电在民用领域也开始崭露头角。人们期待这种新技术能兼容现在所有的3G标准,从而制成通用的移动通信设备。软件无线电已经成为无线通信领域继固定到移动、模拟到数字之后的第三次革命。 软件无线电具有灵活性和集中性两大优点。 灵活性即可以任意地转换信道接入方式,改变调制方式或接收不同系统的信号等。当前蜂窝通信标准不断地发展变化,这种灵活性对移动通信系统来说就显得尤为重要。例如:基站可以通过承载不同的软件来适应不同的标准,而不用对硬件平台进行改动;基站间可由软件算法协调动态地分配信道与容量以优化性能;移动台可以自动检测接收到的信号的工作方式,以接入不同的网络(GSM、DAMPS等)。 集中性即多个信道享有共同的射频前端与宽带模/数、数/模转换器,以获取每一信道相对廉价的信号处理性能。尽管软件无线电要比传统的接收机贵很多,但每一信道的费用则低得多。在移动通信系统中,一般一个基站能容纳20个甚至更多的无线接收器,这样软件无线电技术就显得很吸引人。 软件无线电硬件采用模块化结构宽带模/数和数/模转换及高速DSP,建立公共硬件平台,支持并行、流水线及异种多处理机。软件采用基于OSI参考模型的分层软件体系,支持开放式的模块化设计。灵活应用软件无线电的基本硬软件模块,可使软件无线电设备对传播条件具有多种自适应能力,多种抗干扰能力,灵活可变的多址方式、用户需要的多种业务及多种组网与接口能力等。 随着计算机硬件的迅速发展,软件无线电技术日益广泛地应用于陆上移动通信、卫星移动通信与全球定位系统等。对于不同的新标准(GSM、DCS1800、IS-54、IS-95等),软件无线电提供了灵活的解决方案—— —在通用的硬件平台上由可变换的应用软件模块提供对不同新标准的兼容性。由于在移动通信领域中,用户对新业务的要求不断变化,空中接口标准不断发展,传统的数字系统会很快被淘汰,而软件无线电这种由软件的变化、升级实现增强业务功能的能力使得由软件无线电技术构筑的系统的生命周期要长的多,很有竞争力。 三、软件无线电的发展历史 为了解决军用无线电台多频段、多制式的互通问题,1992年5月,MITRE公司的JeoMitola在美国电信系统会议上首次提出软件无线电的概念。其基本思想是:构造一个标准化、模块化、开放性的通用硬件平台,将通信中的各种功能,如设定数据格式、确定载波频率、信道编码、信道调制、加密、通信协议等用软件来完成。在这一构想中,宽带模/数转换器尽可能地靠近射频天线,最大限度地通过数字的方式来实现电台的各种功能。这样的软件无线电台不仅可以与普通电台进行通信,还能在两种不同制式的电台系统间充当“转接器”的作用,使两者能够互通互连。 在软件无线电概念产生不久后,美军提出了“易通话”(SPEAKEASY)科研计划,其主要任务是研制多频段多模式无线电台(Multi—BandMulti—ModeRadio,MBMMR)。这种电台的工作频率为2~2000MHz,能同时处理4种不同的信号波形,兼容美军当时的15种电台,并适用于不同频段和不同调制方式下的通信互联。 1995年5月,IEEE《通信杂志》出版了软件无线电专刊,全 软件无线电的现状和发展趋势 科学管理商界 33 广东科技2008.03.总第183期

软件无线电.期末考试

1.什么是软件无线电?软件无线电的特点是什么? 定义: 软件无线电是多频带无线电,它具有宽带的天线、射频转换、模/数转和数/模变换,能支持多个空中接口和协议,在理想状态下,所有方面(包括物理空中接口)都可以通过软件定义。 软件无线提供了一种建立多模式、多频段、多功能无线设备的有效并且相当经济的解决方案,可以通过软件升级实现功能提高 特点: 多频带/多模式/多功能(M3)工作:多频带是指软件无线电可以工作在很宽的频带范围内; 多模式是指软件无线电能够使用多种类型的空中接口,其调制方式、编码、帧结构、压缩算法、协议等可以选择;多功能是指采用相同的无线电设备用于不同的应用中。 具有可重配、重编程能力:可重配置是指系统的操作软件(包括程序、参数以及处理环境的软件方面)或硬件(处理环境的硬件方面)的改变。软件无线电采用多个软件模块在相同的系统上可实现不同的标准,只需要选择不同的模块运行就可实现系统的动态配置。所需要的模块可以通过空中接口或人工下载获得并升级。 功能的灵活性:软件无线电的功能由软件决定的,软件模块可以通过空中接口或人工下载的方式获得,以增加或改变其无线电功能,因此其功能的使用和配置非常方便、灵活。 结构的开放性:软件无线电的结构分为硬件和软件两大部分。这两大部分都具有模块化和标准化的特点,是一种开放式的体系结构,使得研制、生产和使用各环节可以共享已有成果,共同推进软件无线电技术的发展。 2.无线电技术经历了或正在经历哪几个阶段?各有什么特征? 第0级:数字硬件无线电。系统不能做任何修改,系统操作由开关、拨号盘和按钮等来完成。 第1级:软件控制无线电。系统通过软件实现控制功能,但是在不改变硬件的条件下,软件控制无线电设备是不能改变像频带或调制方式这样的特征参量的。 第2级:软件定义无线电。系统使用软件对调制、宽/窄带、安全、波形产生和检测等方面的具体应用技术和参数进行控制,不需要对硬件做任何修改,但通常收到频带的约束,依然存在模拟部分,比如还有射频或中频电路。尽管前端的带宽是个限制因素,但由于SDR 能够提供宽带和窄带两种操作中的多种调制技术,因为利用软件可以控制相当宽的频带范围。SDR能够存储大量的波形或空间接口,并可以通过软件下载来添加新的内容。 第3级:(理想的)软件无线电。系统完全可以编程,在接收端或发射端无需任何下变频或上变频转换,将天线前段的输入/输出直接接入ADC/DAC,消除了大部分模拟部件,从而降低了失真和噪声,但仍然受到一定的频率约束。 第4级:终极软件无线电。这种软件无线电没有外置天线、运行频率或带宽的限制,完全可编程,同时支持广泛的频率和功能,能够快速实现空中接口的检测和转换。 3.为什么软件无线电一定要采用“硬件通用化”的设计准则?在软件无线电中是如何 体现“硬件通用化”这一设计思路的? 体系结构:为了让软件和硬件下的用户独立,是系统功能软件化的前提。 设备生产商:满足设计指标,使生产专业化、批量化,提高生茶效率,降低生产成本。 运营商:降低维护成本,维护难度,建设成本。 硬件开发商:继承性,重用性更好。从而减少重复劳动提高研发效率 消费者:减少重复投资 4.你是如何理解软件无线电“功能软件化”这一本质特征的?为什么软件无线电的功 能可以采用软件来实现?

无线电收发中的DA转换(翻译)

无线电收发中的D/A转换 其基本思想是构造一个通用的开放型可编程硬件平台,通过加载相应的软件模块来实现相应的无线电台功能。在通用平台上,只要更改有关软件,就可以方便的完成对电台功能的修改及扩展,而不必重新设计构造硬件电路系统。这样的无线电台很容易实现与现有或未来的多种电台的兼容,最大限度的满足互通互连的要求。同时可节省大量电台更新时的开发时间和研发经费。这首先在通信(尤其是在移动通信)领域有着迫切的需求和广阔的应用前景模数变换(A/D)是实现软件无线电的关键技术之一。本文首先介绍了软件无线电的概念,然后着重分析了A/D变换器的各项技术指标,并结合实例,详细论述了A/D采样的方法。 1引言 在1992年5月的全美远程系统(NationalTelesystems Conference)会议上,Mitre公司的科学家Joe Mitola在同IEEE 的一位会员谈话时,首先提出了软件无线电的概念。他认为:软件无线电系统基于硬件平台,其A/D变换应尽量地靠近天线,而将尽可能多的无线通信功能用软件来实现。 与传统无线电系统相比,软件无线电将A/D变换尽量向射频端靠拢,而将中频(甚至射频)以后全部进行数字化处理,他带来的好处是射频前端小型化、通信功能软件化、系统硬件通用化。最初软件无线电是美军为了解决各种军用电台之间的互通问题,软件无线电电台的特点是宽频带、多频段、多调制方式、多编码方式、多协议、小体积、便于升级等。为此,美国国防部高级研究计划总署(ARPA)提出了Speakeasy计划,该计划第一阶段的目标是研制开发多频段多模电台(MBMMR),Speakeasy I 的先期开发模型(ADM)已于1994年8月对政府的一些代表做了演示,他共演示了以下几项内容:(1)分别与4种政府装备的标准电台互通,其中包括战术无线电台Have QuickHFMODEM (MIL-STD-188-110A)、自动链路建立ALE(MS-188-141A)和单信道地面和机载无线电系统SINCGARS;(2)在Have Quick 和SINCGARS的跳频网上同时发射,实现同时与这两种电台通信;(3)作为网桥/关连接Have Quick和SINCGARS网,使2个网上的用户信息透明地传输,(4)在2部Speakeasy电台上,同时改变SINCGARS的波形参数后仍能互通,显示了波形可编程能力。这次演示的内容包括语音、数据及计算机产生,并通过HFMODEM传输的视频图像。1999年该计划第二阶段Speakeasy II的开发完成,他增加了软件无线电的网络功能,扩展了MBMMR

软件无线电技术简介及特点应用

软件无线电技术简介及特点应用 发表时间:2019-09-10T10:31:29.547Z 来源:《科学与技术》2019年第08期作者:刘建新[导读] 软件无线电技术的出现是通信领域继摸拟通信到数字通信,固定通信到移动通信之后第三次革命。 海南三亚92823部队 软件无线电技术,顾名思义是用现代化软件来操纵、控制传统的"纯硬件电路"的无线通信。软件无线电技术的重要价值在于:传统的硬件无线电通信设备只是作为无线通信的基本平台,而许多的通信功能则是由软件来实现,打破了有史以来设备的通信功能的实现仅仅依赖于硬件发展的格局。软件无线电技术的出现是通信领域继摸拟通信到数字通信,固定通信到移动通信之后第三次革命。 1.起源 软件无线电最初起源于军事通信。军用电台一般是根据某种特定用途设计的,功能单一。虽然有些电台基本结构相似,但其信号特点差异很大,例如工作频段、调制方式、波形结构、通信协议、编码方式或加密方式不同。这些差异极大地限制了不同电台之间的互通性,给协同作战带来困难。同样,民用通信也存在互通性问题,如现有移动通信系统的制式、频率各不相同,不能互通和兼容,给人们从事跨国经商、旅游等活动带来极大不便。为解决无线通信的互通性问题,各国军方进行了积极探索。完整的软件无线电 (Software Definition Radio)概念和结构体系是由美国的Joe.Mitola首次于1992年5月明确提出的。其基本思想是 :将宽带A/D 变换尽可能地靠近射频天线 ,即尽可能早地将接收到的模拟信号数字化 ,最大程度地通过软件来实现电台的各种功能。通过运行不同的算法 ,软件无线电可以实时地配置信号波形 ,使其能够提供各种语音编码、信道调制、载波频率、加密算法等无线电通信业务。软件无线电台不仅可与现有的其它电台进行通信 ,还能在两种不同的电台系统间充当“无线电网关”的作用 ,使两者能够互通互连。 软件无线电充分利用嵌入通信设备里的单片微机和专用芯片的可编程能力 ,提供一种通用的无线电台硬件平台 ,这样既能保持无线电台硬件结构的简单化 ,又能解决由于拥有电台类型、性能不同带来的无线电联系的困难。 2.软件无线电台的功能结构 图1给出了典型的软件无线电系统的结构简图 ,包括天线、多频段射频变换器、含有A/D 和D/A变换器的芯片以及片上通用处理器和存储器等部件 ,可以有效地实现无线电台功能及其所需的接口功能。 其关键思想以及与传统结构的主要区别在于 : (1)将A/D 和D/A向RF端靠近 ,由基带到中频对整个系统频带进行采样。 (2)用高速DSP/CPU代替传统的专用数字电路与低速DSP/CPU做A/D 后的一系列处理。A/D 和D/A移向RF端只为软件无线电的实现提供了必不可少的条件 ,而真正关键的步骤是采用通用的可编程能力强的器件 (DSP和CPU等 )代替专用的数字电路 ,由此带来的一系列好处才是软件无线电的真正目的所在。 典型的软件无线电台的工作模块主要包括实时信道处理、环境管理以及在线和离线的软件工具三部分。 1)实时信道处理 实时信道处理包括天线、射频变换、A/D 和D/A变换器、中频处理、基带与比特流处理及信源编码。其中射频变换包括输出功率的产生、前置放大、射频信号变换为标准中频或由标准中频变换为射频信号 ,以适应宽带A/D和D/A变换。中频处理部分变换调制基带和中频之间的发射和接收信号。比特流部分数字复用由多个用户产生的信源编码比特流 ,而且相反的使它们成帧或多路分解。还提供信令、控制和操作、管理和维护功能。实时信道处理部分最合适的结构是多指令多数据 (MIMD)多处理器的结构 ,即将多处理器组成一个流水线 ,来实现模块分配给内部连接在一起的各个处理器的不同的功能序列。 2)环境管理 在准实时环境管理模块中持续地使用频率、时间和空间特征来表征无线电环境 ,这些特征包括信道识别和估计其它参数。环境管理模块使用操作的块结构很容易用一台MIMD并行处理器来实现。这种高度的并行环境管理模块和流水线工作方式的实时信道处理模块之间的接口必须使环境管理的参数和信道处理模块同步。 3)在线和离线的软件工具

软件无线电中采样率转换 毕业设计

软件无线电中采样率转换毕业设计西南科技大学本科生毕业论文 I 软件无线电中采样率转换的 FPGA实现 摘要:随着软件无线电的不断发展,采样率转换技术成为了软件无线电硬件平台的关键技术。利用该技术处理不同标准和速率的信号,使其能够在同一个硬件平台上实现不同速率的信号传输。合理的设计和实现采样率转换系统,是目前软件无线电领域研究的重点和难点。 详细阐述了软件无线电中采样率转换系统的理论知识,提出了基于FPGA的本文 采样率转换的整体设计方案,对两大核心模块:测试源模块和多速率处理模块进行了详细的设计。在本方案中,测试源模块采用直接数字频率合成(DDS)技术,以ISE软件为平台,设计一个频率为2MHz、采样率为160MHz的正弦波。多速率处理模块利用Matlab和ISE软件,完成了多速率处理模块中CIC抽取滤波器和HB抽取滤波器的详细设计,并对各个滤波器的功能进行仿真。最后在Matlab和ISE软件中分别 测试信号进行8倍的抽取,对总体方案进行simulink仿真和ModelSim仿真,实现对 输出采样率为20MHz、频率仍是2MHz的无失真正弦波。文末给出了设计过程中遇到的问题以及对问题的分析处理结果。 关键词: 采样率转换;DDS技术;CIC滤波器;HB滤波器;FPGA; 西南科技大学本科生毕业论文 II Sample Rate Conversion Implemented with FPGA

in Software Radio Abstract: With the development of software radio, sample rate conversion technique plays a significant role in the hardware platform of software radio. Using this technology to deal with signal of different standards and rates can achieve signal sample rate conversion and signal transmission on the same hardware platform .Calculating and implementing a sample rate conversion system reasonably is important and difficult for designer in the field of Software radio. Expounding the basic theory of sampling rate conversion of software radio system, this paper proposes the overall design scheme of sample rate conversion which is based on FPGA ,and the test source signal module and multi- rate processing module are discussed in detailIn this design, the test source signal ,a sine wave with frequency of 2MHz and sample rate of 160MHz, is designed in ISE software, adopting direct digital synthesis (DDS) technology. In multi-rate processing module, the CIC decimation filter and HB decimation filter are designed in detail, whose functions are emulated in ISE and Matlab software. Finally, output signal is converted into a undistorted sine wave with frequency of 2MHz and sample rate of 20MHz via the simulink emulation and ModelSim emulation which are completed in ISE and Matlab software. At the end of the thesis, it discusses the analysis and solution results to the problems, which are emerged in the design.

相关文档
最新文档