电路原理图设计规范

电路原理图设计规范
电路原理图设计规范

C

电路原理图设计规范

Hardware

Revision List

目录

一、Purpose/ 目的.......................................................................................................................................................... - 4 -

二、Scope/ 适用范围.................................................................................................................................................... - 4 -

三、Glossary/ 名词解释 ................................................................................................................................................ - 4 -

四、Necessary Equipment/ 必须文件............................................................................................................................ - 4 -

五、Procedure/ 流程规范细则 ...................................................................................................................................... - 4 -

5.1确定图纸尺寸、标题规范........................................................................................................................ - 4 -

5.2元器件标识规范........................................................................................................................................ - 5 -

一、Purpose/ 目的

1.1本规范规定了公司硬件电路原理图的设计流程和设计原则,主要的目的是为电路原理图设计者提供必须遵守的规则和约定。

1.2提高原理图的设计质量和设计效率,提高原理图的可读性,可维护性,为PCB Layout做好基础。

二、Scope/ 适用范围

本规范适用于研发部硬件人员使用Altium Designer 工具绘制电路原理图,亦可作为其他工具参考规范。

三、Glossary/ 名词解释

3.1 图幅

3.2 网络标号

3.3 网络表

3.4 标称值

3.5 元器件库

3.6 图形符号

四、Necessary Equipment/ 必须文件

4.1设计需求分析。

4.2 系统方案说明。

4.3主要零件的datasheet,参考设计,注意事项。

4.4产品机构图(可选)

五、Procedure/ 流程规范细则

5.1确定图纸尺寸、标题规范

5.1.1 根据实际需要,电路的复杂程度选择图纸尺寸,常用的图纸尺寸有A2,A3,A4.

5.1.2 每个图纸可根据实际情况分为纵向和横向排版,一般选用横向。

5.1.3 在选用图纸时,应该能准确清晰的表达该区域电路的完整功能。

5.1.4 标题栏规范

项目名称宋体三号

图纸名称宋体四号

版次宋体四号

页数/页码宋体四号

设计人员宋体四号

5.1.5 分页规范

当同一块PCB上的电路原理图,由于内容太多,无法在同一张图纸上画完,这时需分多页绘制原理图,分页绘制的原理图,在结构属性上各页之间是同级平等的,相互可以拼接成一张图。分页绘制的首要规则是同一个子功能单元电路必须绘制在同一页上。

当分页绘制时,要注意此时网络标号和项目代号是全局变量,不同网络不能用相同的网络标号,即此时网络标号和项目代号在总图中是唯一的,不得有重复。

5.2元器件标识规范

元器件标注的基本信息,即是显示在原理图上的信息,应包括元器件的编号和标称值。

其中元器件的编号一般根据元器件种类以不同的英文字母表示,后面加注流水编号。注意:元器件编号要连续,中间不要间断,不要出现重复。

5.3标称值规范

标称值是器件的电器特性的必要描述,标称值的标注原则是能准确反映该器件的特征,只要选用了满足该参数的同类器件,就可以保证正常的电气性能,不会因为其它未标明的参数改变而造成原理图错误或导致电路故障。

5.3.1 电阻类

5.3.1.1 ≤1ohm 以小数表示,而不以毫欧表示0RXX,例如0R47,0R033

5.3.1.2 ≤999ohm 整数表示为XXR,例如100R,470R;包含小数表示为XRX,例如4R7,4R99,49R9

5.3.1.3 ≤999K 整数表示为XXK,例如100K,470K;包含小数表示为XKX,例如4K7,4K99,49K9

5.3.1.4 ≤1M 整数表示为XXM,例如1M,10M;包含小数表示为XMX,例如4M7,2M2

5.3.1.5如果电阻额定功率和精度有特殊要求,必须标注功率和精度;特殊要求的标注和阻值在同一栏中,各项标注以横线隔开,但其中的字母必须大写,如“10k-1%-1/2W”,精度要求在前、额定功率要求在后。可变电阻(电位器)标注最大阻值。

5.3.2 电容类

5.3.2.1 ≤1pF 以小数加p表示,例如0.47pF

5.3.2.2 ≤999pF 整数表示为XXp,例如100pF,470pF;包含小数表示为X.Xp,例如4.7pF,

6.8pF

5.3.2.3 ≤999nF 整数表示为XXn,例如100nF,470nF;包含小数表示为X.Xn,例如4.7nF,

6.8nF

5.3.2.4接近1uF的电容也可以以0.XXu表示,例如0.1uF,0.22uF,。

注意:在同一个电路图中必须使用一种标识规范,如100nF和0.1uF不能同时出现在一份电路图纸中。

5.3.2.5 ≥1uF 整数表示为XXu,例如100u,470u,1000u;包含小数表示为X.Xu,例如4.7uF,

6.8uF.

5.3.2.6电解电容必须标明耐压值,以"-"与容值隔开。如“100uF-25V”

5.3.2.7 贴片电容(钽电容除外),容值后耐压值为可选项,.

5.3.3 集成电路类

5.3.3.1 集成电路可摘取厂家型号的部分或全部,但标注内容必须是能保证电路功能的充分条件。

5.3.3.2 对于同一型号存在多种封装形式的IC,需要标注使用封装类型。

5.3.4 电感类

5.3.4.1 电感以毫亨、微亨、纳亨为单位标注,亨利的符号H不可省略,毫亨用mH表示,微亨uH表示、纳亨用nH表示,如10mH、1.2uH、1.8nH等,标注单位的使用原则是使标注数字的位数不多于三个;

5.3.4.2 磁珠标注磁珠型号,特征电阻,以及额定电流,如“2012B601-600Ohm@100Mhz-1A”

5.3.5 晶振类

晶体、晶振以kHz或MHz标注,稳定度有特殊要求的需表明,标注示例如12MHz-25ppm。注意:Hz不能省略。

5.3.6 保险丝类

必须标注额定工作电流,统一以A为单位标注。

5.4元器件图形符号规范

规范中不可能罗列出所有元器件的图形符号,仅列出一般性、通用的的符号,供实际工作参考。

5.4.1

5.4.2

5.4.3

5.4.4

5.4.5

5.4.6

5.5.7

5.5.8

图形符号

5.5布局规范

在原理图的绘制过程中,为了图纸的标准化和可视性、易读性,在整个原理图的布局上需遵循一定的规范,做到信号流向顺畅,布局匀称,功能单元电路布局清晰。

5.5.1 功能布局法

在布局时,应优先考虑功能布局法,功能相关联的项目类,或功能单元电路应靠近绘制,以使电路关系表达的清晰明了。并且各个功能组之间应保留有一定的分隔区间,以便于识别在组间的连线上定义网络名,以及放置功能注释文字。

当原理图中的若干个功能单元电路在布局时,如果不是区分得特别明显,可以用虚线框加以划分,虚线框可以是规则的,也可以是不规则的。在采用线框时,应注意包络框线不能和元器件图形符号、网络标号、标题栏等属性相交,虚线框可以跨越电气连线。也可以为功能模块添加必要的文字说明使之更易读。

5.5.2 信号流布局法

按照信号的流向,整体布局时,可分为水平布局和垂直布局,在水平布局时,类似的项目应纵向对齐,并且在同一或类似的信号流上的项目应可能的放置在同一水平线上。垂直布局时,类似的项目应横向对齐。对于信号的输入,输出的连接端口,在水平布局时,应按照信号的流向,输入放置在页面的左端,输出放置在页面的右端,并且应上下对齐,均匀排布,集中放置在一侧,这些端口一般不允许放置在页面中间,如果必须放置在中间时,也应集中排列。垂直布局时,输入应放置在上方,输出放置在下方。

5.5.3 元器件摆放规则

在原理图中,器件的放置一般只有两种方式,即竖直和水平,一般不允许将器件放置成不规则的状态。器件之间的摆放要均匀,不拥挤,能对齐的尽量要管脚对齐。

5.5.4 去耦电容的放置

在原理图中,如果多个IC单元的去耦电容不应集中放置,这样不利于PCB布局和读图人正确理解去耦电容

的附属关系。

为了清晰表达去耦电容对特定IC单元的重要性,去耦电容应尽量靠近归属器件。以保证在PCB设计时,不管去耦电容和IC单元的个数是否一一对应去耦电容都能放置到对应的IC上。

如果大规模集成电路芯片往往电源和地的管脚数量众多,要求的去耦电容数量也比较多,这时可以集中放置,并增加注释说明。

5.6电气连线规范

5.6.1建议在软件中开启“跨线显示”功能,“Display Cross-Overs”。

5.6.2电气连线采用点到点连接。

5.6.3 一条电气连线不能与另一条电气连线发生重合。

5.6.4电气连线不能有元器件引脚发生重合。

5.6.5连接到另一页图上的电气连接线,应该在本页中断,并在中断处注明网络号。

5.6.6在原理图中,表示电气的总线有专用的绘制方法,一般都是功能属性相同的信号线组成,不允许将属性完全不关联的信号线组合在一起构成总线,当单根导线汇入用单线表示的一组连接线或总线时,应采用每根连接线上标注网络标号。

5.6.7 同一页图纸的连接对象尽量用线段(包括总线)连接,尽可能少依赖网络标号。

5.6.8引脚数量少的小器件(一般<=3)必须和它的某一连接对象布置在一起,并用线连接,不能完全依赖网络标号建立连接。

5.6.9连接线采用水平和垂直两个方向走线,不应有其它角度的走线。

5.6.10 电气连线不能与零件符合本体有交叉。

5.7非电气连线规范

5.7.1当需要在图上显示出图的一部分所表示的是功能单元、结构单元或项目组时,可以用虚线表示。为了图面的清晰,围框的形状可以是不规则的;

5.7.2围框线不应与元件符号、网络标号相交;

5.7.3如果在表示一个功能单元的围框线内的图上含有不属于该单元的元件的符号,则必须对这些电路符号加点划线的围框,并加注解说明;

5.7.4元器件管脚之间的电气关系连接不能用非电气连接线来连接。

5.8 网络标号规范

5.8.1 网络标号中严禁使用空格,需要间隔的字符之间使用“-”或“_”。

5.8.2 差分信号网络标号后缀以”_P” 和”_N”结尾(推荐使用)或以“+”和“-”结尾。

5.8.3 一个系统的图纸中,一个网络只能有一个唯一的网络标号与之对应。

5.8.4 网络标号放在要紧靠电气连线,水平线→靠上方,垂直线→靠右方。

5.8.5 网络标号的第一个字母必须在电气连线的长度范围内。

5.8.6 网络标号不能放纵器件管脚上。

5.8.7 网络标号不能放在两条电气连线的交叉点上。

5.9测试点设置规范

测试点放置位置要靠近所测信号源。一般来讲测试处要采用测试针,在原理图中要选用专用测试点图形符号,这时测试点在PCB上只表现为一个焊盘。对于大量的并行总线的测试点如果使用专门的焊盘会对PCB布线造成影响,则可以使用过孔代替测试点,但需要在布线时注意位置。

高速信号的测试点需要在尽量近的地方同时放置信号地的测试点,以保证使用示波器探针时测试结果的准确性。

测试点的注释不应紧靠信号连线和器件管脚,以免阅读图纸的人误解为网络标号。

5.10电源、地命名规范

5.11 器件选型规范

5.12注释说明规范

在原理图中,为了便于分析和读懂电路的原理,在图中对难于理解和具有特殊功能的地方,进行文字描述性的注释可以起到简单明了的效果.

必须加注释的情况:

在同一幅图纸上存在多个模块单元时,如果有必要,应分别用注释文字解释说明其主要功能和注意事项,

在原理图中存在可编程芯片时或其他特殊元器件时,如果有特殊要求时,可以解释其功能属性,这种解释可以是文字性质的,也可以是用表格表达的逻辑功能表,

对于大电流和高电压的网络和端口、以及高温器件、屏蔽线、高频信号线等都应作出相应的标注和解释,便于视图或调测。

对PCB布局布线有特殊要求时

对多组微型开关或其他类似的功能设置单元在原理图中的功能设置,为了在分析或测试调试时方便直观,必要时在图中用表格注释说明其操作。

5.9.1注释的文字可以是汉字、英文,也可以是字母、数字。字母可以用直体,也可以用斜体,可以用大写,也可以用小写;数字可以用直体,也可以用斜体。

5.9.2注释的放置一般不应紧靠信号线和器件管脚,以免被识图者误解为网络标号,并且注释文字不得覆盖元件、线段和其它元素符号。为了产生混淆,不要使用与网络标号等其他电路属性相同的颜色,并考虑采用实细线框封闭包围。

5.9.3

5.13 BOM输出规范

pcb板电路原理图分模块解析

PCB板电路原理图分模块解析 前面介绍了电路图中的元器件的作用和符号。一张电路图通常有几十乃至几百个元器件,它们的连线纵横交叉,形式变化多端,初学者往往不知道该从什么地方开始,怎样才能读懂它。其实电子电路本身有很强的规律性,不管多复杂的电路,经过分析可以发现,它是由少数几个单元电路组成的。好象孩子们玩的积木,虽然只有十来种或二三十种块块,可是在孩子们手中却可以搭成几十乃至几百种平面图形或立体模型。同样道理,再复杂的电路,经过分析就可发现,它也是由少数几个单元电路组成的。因此初学者只要先熟悉常用的基本单元电路,再学会分析和分解电路的本领,看懂一般的电路图应该是不难的。 按单元电路的功能可以把它们分成若干类,每一类又有好多种,全部单元电路大概总有几百种。下面我们选最常用的基本单元电路来介绍。让我们从电源电路开始。 一、电源电路的功能和组成 每个电子设备都有一个供给能量的电源电路。电源电路有整流电源、逆变电源和变频器三种。常见的家用电器中多数要用到直流电源。直流电源的最简单的供电方法是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)的缺点,因此最经济可靠而又方便的是使用整流电源。 电子电路中的电源一般是低压直流电,所以要想从220 伏市电变换成直流电,应该先把 220 伏交流变成低压交流电,再用整流电路变成脉动的直流电,最后用滤波电路滤除脉动直流电中的交流成分后才能得到直流电。有的电子设备对电源的质量要求很高,所以有时还需要再增加一个稳压电路。因此整流电源的组成一般有四大部分,见图1。其中变压电路其实就是一个铁芯变压器,需要介绍的只是后面三种单元电路。 二、整流电路 整流电路是利用半导体二极管的单向导电性能把交流电变成单向脉动直流电的电路。 ( 1 )半波整流 半波整流电路只需一个二极管,见图 2 ( a )。在交流电正半周时 VD 导通,负半周时VD 截止,负载 R 上得到的是脉动的直流电

PCB板设计步骤

1.5 PCB 板的设计步骤 (1 )方案分析 决定电路原理图如何设计,同时也影响到 PCB 板如何规划。根据设计要求进行方案比较、选择,元 器件的选择等,开发项目中最重要的环节。 (2 )电路仿真 在设计电路原理图之前,有时会会对某一部分电路设计并不十分确定,因此需要通过电路方针来验 证。还可以用于确定电路中某些重要器件参数。 (3 )设计原理图元件 PROTEL DXP 提供了丰富的原理图元件库,但不可能包括所有元件,必要时需动手设计原理图元件,建立 自己的元件库。 (4)绘制原理图 找到所有需要的原理元件后,开始原理图绘制。根据电路复杂程度决定是否需要使用层次原理图。完成原 理图后,用ERC (电气法则检查)工具查错。找到岀错原因并修改原理图电路,重新查错到没有原则性错误为 止。 5 )设计元件圭寸装 和原理图元件一样, PROTEL DXF 也不可能提供所有元件的封装。需要时自行设计并建立新的元件封装库。 6)设计PCB 板 确认原理图没有错误之后,开始 PCB 板的绘制。首先绘岀 PCB 板的轮廓,确定工艺要求(如使用几层板 等)。然后将原理图传输到 PCB 板中,在网络表、设计规则和原理图的引导下布局和布线。利用设计规则查 错。是电路设计的另一个关键环节,它将决定该产品的实用性能,需要考虑的因素很多,不同的电路有不同 要求 (7 )文档整理 对原理图、PCB 图及器件清单等文件予以保存,以便以后维护和修改 DXP 的元器件库有原理图元件库、 PCB 元件库和集成元件库,扩展名分别为 DXP 仍然可以打开并使用 Protel 以往版本的元件库文件。 在创建一个新的原理图文件后 ,DXP 默认为该文件装载两个集成元器件库: Miscellaneous Connectors.IntLib 。因为这两个集成元器件库中包含有最常用的元器件。 注意: Protel DXP 中,默认的工作组的文件名后缀为 .PrjGrp ,默认的项目文件名后缀为 .PrjPCB 。如 果新建的是 FPGA 设计项目建立的项目文件称后缀为 .PrjFpg 。 也可以将某个文件夹下的所有元件库一次性都添加进来, 方法是:采用类似于 Windows 的操作,先选中该文 件夹下的第一个元件库文件后,按住 Shift 键再选中元件库里的最后一个文件,这样就能选中该文件夹下的所 有文件,最后点打开按钮,即可完成添加元件库操作。 3.1原理图的设计方法和步骤 下面就以下图 所示的简单 555定时器电路图为例,介绍电路原理图的设计方法和步骤。 3.1.1创建一个新项目 电路设计主要包括原理图设计和 PCB 设计。首先创建一个新项目,然后在项目中添加原理图文件和 PCB 文件,创建一个新项目方法: ?单击设计管理窗口底部的 File 按钮,弹岀一个面板。 ? New 子面板中单击 Blank Project ( PCB )选项,将弹岀 Projects 工作面板。 ?建立了一个新的项目后,执行菜单命令 File/Save Project As ,将新项目重命名为 "myProject1 . PrjPCB ”保存该项目到合适位置 3.1.2创建一张新的原理图图纸 ?执行菜单命令 New / Schematic 创建一张新的原理图文件。 ?可以看到 Sheetl.SchDoc 的原理图文件,同时原理图文件夹自动添加到项目中。 ?执行菜单命令 File/Save As ,将新原理 SchLib 、PcbLib 、IntLib 。但 Miscellaneous Devices 」ntLib 禾

电路原理图的绘制

电路原理图的绘制 上节课已经对设置图纸参数,设置标题栏,设置三种栅格,放置常见元件,调整元件位置等进行了讲解,本节课主要是利用网络标号、总线和总线分支来绘制一个电路原理图。重点介绍网络标号、总线、总线分支及阵列式粘贴工具的使用方法。 下面简单介绍一下电路原理图的绘制步骤。 一、电路原理图的设计步骤 1、电路板设计的一般步骤 (1)电路原理图的设计 (2)产生网络表 (3)印制电路板的设计 (4)根据需要生成印制电路板报表 2、电路原理图设计的一般步骤 (1)设置电路纸参数及相关信息 (2)装入所需元件库 (3)放置元件 (4)电路图布线 (5)调整检查和修改 (6)补充完善 (7)打印输出 电路原理图是制作电路板的关键步骤,只有电路原理图绘制的正确,电路板才有可能设计的正确合理。 二、添加元件库 原理图中的内容主要是元件和连线,常见元件工具栏提供的元件远远不够用,这里介绍添加元件库的方法,在如图1 的界面里点击ADD/REMOVE工具,出现的添加/删除元件库对话框如图2所示。

图1 图2 在图2对话框中选中要添加的元件库文件ADD,或双击元件库文件都可以完成元件库的添加。 三、放置元件 (1)打开设计管理器后单击Browse选中元件库,在元件列表中找到所需元件,单击 Place按钮或双击元件将元件放入到原理图编辑区中。 (2)放置元件的另一种方法是在编辑区单击鼠标右键,执行Place Part命令,出现对话框,在Lib Ref框中直接输入元件名称并编辑属性即可。单击工具栏中的工具或选择Place|Part命令也可进行放置元件的操作。 四、编辑元件 由于时间关系,编辑元件属性可简单介绍,重点在试验室进行讲解,方法双击原理图中元件打开对象性编辑对话框,现以电阻和电源为例介绍元件属性的编辑方法。双击电阻元件打开如图3所示的对话框,各个选项含义如下。 Lib Ref:元件在元件库中的名称。

PCB电路板原理图的设计步骤

PCB电路板原理图的设计步骤 PCB从单层发展到双面、多层和挠性,并且仍旧保持着各自的发展趋势。由于不断地向高精度、高密度和高可靠性方向发展,不断缩小体积、减少成本、提高性能,使得印刷板在未来设备的发展工程中,仍然保持着强大的生命力。那 么PCB是如何设计的呢?看完以下七大步骤就懂啦! 1、前期准备 包括准备元件库和原理图。在进行PCB设计之前,首先要准备好原理图SCH 元件库和PCB元件封装库。PCB元件封装库最好是工程师根据所选器件的标准尺寸资料建立。原则上先建立PC的元件封装库,再建立原理图SCH元件库PCB元件封装库要求较高,它直接影响PCB的安装;原理图SCH元件库要求相对宽松,但要注意定义好管脚属性和与PCB元件封装库的对应关系。 2、PCB结构设计 根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下绘制PCB

板框,并按定位要求放置所需的接插件、按键/开关、螺丝孔、装配孔等等。充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。 3、PCB布局设计 布局设计即是在PCB板框内按照设计要求摆放器件。在原理图工具中生成网络表(Design→Create Netlist),之后在PCB软件中导入网络表(Design →Import Netlist)。网络表导入成功后会存在于软件后台,通过Placement操作可以将所有器件调出、各管脚之间有飞线提示连接,这时就可以对器件进行布局设计了。 PCB布局设计是PCB整个设计流程中的重要工序,越复杂的PCB板,布局的好坏越能直接影响到后期布线的实现难易程度。布局设计依靠电路板设计师的电路基础功底与设计经验丰富程度,对电路板设计师属于较高的要求。初级电路板设计师经验尚浅、适合小模块布局设计或整板难度较低的PCB布局设计任务。 4、PCB布线设计

1.层次原理图设计

层次原理图设计 一实验目的 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二实验内容 绘制洗衣机控制电路层次原理图,包括“复位晶振模块”,“CPU模块”,“显示模块”和“控制模块”。 三实验步骤 注意:在每个原理图上都设计一个模板,内容包括:标题、姓名、学号、专业年级,日期等内容。 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示 图1 模块电路属性

图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口 5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。

原理图和PCB的设计规范

一.PCB设计规范 1、元器件封装设计 元件封装的选用应与元件实物外形轮廓,引脚间距,通孔直径等相符合。元件外框丝印统一标准。 插装元件管脚与通孔公差相配合(通孔直径大于元件管脚直径8-20mil),考虑公差可适当增加。建立元件封装时应将孔径单位换算为英制(mil),并使孔径满足序列化要求。插装元件的孔径形成序列化,40mil以上按5mil递加,即40mil,45mil,50mil……,40mil以下按4mil递减,即36mil,32mil,28mil……。 2、PCB外形要求 1)PCB板边角需设计成(R=1.0-2.0MM)的圆角。 2)金手指的设计要求,除了插入边按要求设计成倒角以外,插板两侧边也应设计成(1-1.5)X45度的倒角或(R1-1.5)的圆角,以利于插入。 1.布局 布局是PCB设计中很关键的环节,布局的好坏会直接影响到产品的布通率,性能的好坏,设计的时间以及产品的外观。在布局阶段,要求项目组相关人员要紧密配合,仔细斟酌,积极沟通协调,找到最佳方案。 器件转入PCB后一般都集中在原点处,为布局方便,按合适的间距先把 所有的元器件散开。 2)综合考虑PCB的性能和加工效率选择合适的贴装工艺。贴装工艺的优先顺序为: 元件面单面贴装→元件面贴→插混装(元件面插装,焊接面贴装一次波峰成形); 元件面双面贴装→元件面插贴混装→焊接面贴装。 1.布局应遵循的基本原则 1.遵照“先固后移,先大后小,先难后易”的布局原则,即有固定位 置,重要的单元电路,核心元器件应当优先布局。

2.布局中应该参考原理图,根据重要(关键)信号流向安排主要元器 件的布局。 3.布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短, 过孔尽可能少;高电压,大电流信号与低电压,小电流弱信号完全分开; 模拟与数字信号分开。 4.在满足电器性能的前提下按照均匀分布,重心平衡,美观整齐的标 准优化布局。 5.如有特殊布局要求,应和相关部门沟通后确定。 2.布局应满足的生产工艺和装配要求 为满足生产工艺要求,提高生产效率和产品的可测试性,保持良好的可维护性,在布局时应尽量满足以下要求: 元器件安全间距(如果器件的焊盘超出器件外框,则间距指的是焊盘之 间的间距)。 1.小的分立器件之间的间距一般为0.5mm,最小为0.3mm,相邻器件 的高度相差较大时,应尽可能加大间距到0.5mm以上。如和IC (BGA),连接器,接插件,钽电容之间等。 2.IC、连接器、接插件和周围器件的间距最好保持在1.0mm以上, 最少为0.5mm,并注意限高区和禁止摆放区的器件布局。 3.安装孔的禁布区内无元器件。如下表所示 4.高压部分,金属壳体器件和金属件的布局应在空间上保证与其它 器件的距离满足安规要求。

PCB电路板设计的一般规范步骤

PCB设计步骤 一、电路版设计的先期工作 1、利用原理图设计工具绘制原理图,并且生成对应的网络表。当然,有些特殊情况下,如电路版比较简单,已经有了网络表等情况下也可以不进行原理图的设计,直接进入PCB设计系统,在PCB设计系统中,可以直接取用零件封装,人工生成网络表。 2、手工更改网络表将一些元件的固定用脚等原理图上没有的焊盘定义到与它相通的网络上,没任何物理连接的可定义到地或保护地等。将一些原理图和PCB封装库中引脚名称不一致的器件引脚名称改成和PCB封装库中的一致,特别是二、三极管等。 二、画出自己定义的非标准器件的封装库 建议将自己所画的器件都放入一个自己建立的PCB库专用设计文件。 三、设置PCB设计环境和绘制印刷电路的版框含中间的镂空等 1、进入PCB系统后的第一步就是设置PCB设计环境,包括设置格点大小和类型,光标类型,版层参数,布线参数等等。大多数参数都可以用系统默认值,而且这些参数经过设置之后,符合个人的习惯,以后无须再去修改。 2、规划电路版,主要是确定电路版的边框,包括电路版的尺寸大小等等。在需要放置固定孔的地方放上适当大小的焊盘。对于3mm的螺丝可用6.5~8mm的外径和3.2~3.5mm内径的焊盘对于标准板可从其它板或PCB izard中调入。 注意:在绘制电路版地边框前,一定要将当前层设置成Keep Out层,即禁止布线层。 四、打开所有要用到的PCB库文件后,调入网络表文件和修改零件封装 这一步是非常重要的一个环节,网络表是PCB自动布线的灵魂,也是原理图设计与印象电路版设计的接口,只有将网络表装入后,才能进行电路版的布线。 在原理图设计的过程中,ERC检查不会涉及到零件的封装问题。因此,原理图设计时,零件的封装可能被遗忘,在引进网络表时可以根据设计情况来修改或补充零件的封装。 当然,可以直接在PCB内人工生成网络表,并且指定零件封装。 五、布置零件封装的位置,也称零件布局 Protel99可以进行自动布局,也可以进行手动布局。如果进行自动布局,运行"Tools"下面的"Auto Place",用这个命令,你需要有足够的耐心。布线的关键是布局,多数设计者采用手动布局的形式。用鼠标选中一个元件,按住鼠标左键不放,拖住这个元件到达目的地,放开左键,将该元件固定。Protel99在布局方面新增加了一些技巧。新的交互式布局选项包含自动

绘制层次电路原理图讲解

《电路CAD 》课程实验报告 实验名称绘制层次电路原理图实验序号实验二姓名张伟杰系专业电科班级一班学号201342203 实验日期5月5日指导教师曹艳艳组名第一组成绩 一、实验目的和要求 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二、实验设备 计算机、Altium Designer 10 三、实验过程(步骤、程序等) 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示

图1 模块电路属性 图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口

5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。 图5 自动生成的I/0端口 4)绘制“复位晶振模块”电路原理图。 其用到的元件如下表1所示。绘制完成后的效果如图6所示。 表1 “复位晶振模块”电路元件列表 元件标号元件名所在元件库元件标示值元件封装R1 RES2 Miscellaneous Devices.IntLib 270ΩAXIAL0.4 R2 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 C1 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 C2 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 C3 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 S1 SW-PB Miscellaneous Devices.IntLib SPST-2 Y1 XTAL Miscellaneous Devices.IntLib R38 VCC 电源工具栏 GND 电源工具栏

pcb 原理图 练习

PCB板设计练习 要求: 一、三端稳压电源PCB板设计 1、创建工程文件和创建原理图文件, 工程文件名命名为:三端稳压电源PCB板设计.PrjPCB , 原理图文件名为:三端稳压电源电路.SchDOC。 2、创建一个PCB文件,命名为:三端稳压电源PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。 3、三端稳压电源PCB板设计参考:

二、跑马灯PCB板设计 1、创建工程文件和创建原理图文件, 工程文件名命名为:跑马灯PCB板设计.PrjPCB , 原理图文件名为:跑马灯电路.SchDOC。 2、创建一个PCB文件,命名为:跑马灯PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。

3、跑马灯PCB板设计参考: 三、打铃电路PCB板设计 1、创建工程文件和创建原理图文件, 工程文件名命名为:打铃电路PCB板设计.PrjPCB ,

原理图文件名为:打铃电路.SchDOC。 2、创建一个PCB文件,命名为:打铃电路PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。 3、打铃电路PCB板设计参考:

四、转换电路PCB板设计(双面板) 1、创建工程文件和创建原理图文件, 工程文件名命名为:转换电路PCB板设计.PrjPCB , 原理图文件名为:转换电路.SchDOC。 2、创建一个PCB文件,命名为:转换电路PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。

3、转换电路PCB板设计参考: 五、显示电路PCB板设计(双面板) 1、创建工程文件和创建原理图文件, 工程文件名命名为:显示电路PCB板设计.PrjPCB ,

绘制层次电路原理图

《电路CAD 》课程实验报告 按钮,如图

图2 放置四个模块电路 )单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口

图4 连线 创建并绘制下层原理图 在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复.SchDoc”及相对应的I/O端口。如图5所示。 自动生成的I/0 晶振模块”电路原理图。 所示。绘制完成后的效果如图 晶振模块”电路元件列表 所在元件库

图7 DS80C310-MCL元件搜索图8 CPU电路模块 表3 显示模块电路元件列表 元件标号元件名所在元件库元件值元件封装 Miscellaneous Devices.IntLib LEDDIP-10

R3 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R4 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R5 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R6 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R7 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R8 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R9 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 R10 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 VCC 电源工具栏 图10 控制电路模块

pcb电路板原理图的设计步骤

PCB从单层发展到双面、多层和挠性,并且仍旧保持着各自的发展趋势。由于不断地向高精度、高密度和高可靠性方向发展,不断缩小体积、减少成本、提高性能,使得印刷板在未来设备的发展工程中,仍然保持着强大的生命力。那么PCB是如何设计的呢?看完以下七大步骤就懂啦! 1、前期准备 包括准备元件库和原理图。在进行PCB设计之前,首先要准备好原理图SCH 元件库和PCB元件封装库。PCB元件封装库最好是工程师根据所选器件的标准尺寸资料建立。原则上先建立PC的元件封装库,再建立原理图SCH元件库PCB元件封装库要求较高,它直接影响PCB的安装;原理图SCH元件库要求相对宽松,但要注意定义好管脚属性和与PCB元件封装库的对应关系。 2、PCB结构设计 根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下绘制PCB 板框,并按定位要求放置所需的接插件、按键/开关、螺丝孔、装配孔等等。充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。 3、PCB布局设计 布局设计即是在PCB板框内按照设计要求摆放器件。在原理图工具中生成

网络表(Design→Create Netlist),之后在PCB软件中导入网络表(Design →Import Netlist)。网络表导入成功后会存在于软件后台,通过Placement操作可以将所有器件调出、各管脚之间有飞线提示连接,这时就可以对器件进行布局设计了。 PCB布局设计是PCB整个设计流程中的重要工序,越复杂的PCB板,布局的好坏越能直接影响到后期布线的实现难易程度。布局设计依靠电路板设计师的电路基础功底与设计经验丰富程度,对电路板设计师属于较高的要求。初级电路板设计师经验尚浅、适合小模块布局设计或整板难度较低的PCB布局设计任务。 4、PCB布线设计 PCB布线设计是整个PCB设计中工作量大的工序,直接影响着PCB板的性能好坏。在PCB的设计过程中,布线一般有三种境界:首先是布通,这是PCB 设计的基本的入门要求;其次是电气性能的满足,这是衡量一块PCB板是否合格的标准,在线路布通之后,认真调整布线、使其能达到好的电气性能;再次是整齐美观,杂乱无章的布线、即使电气性能过关也会给后期改板优化及测试与维修带来极大不便,布线要求整齐划一,不能纵横交错毫无章法。

电路原理第五版邱关源教案3Word版

电气与信息工程系教案

第 3 次课授课时间 2017.9.4 (教案续页)

Z — 复阻抗;|Z| —复阻抗的模;z —阻抗角; R —电阻(阻抗的实部);X —电抗(阻抗的虚部)。 转换关系: 阻抗三角形 3.导纳 对同一二端网络: 当无源网络内为单个元件时有: 4. RLC 并联电路 由KCL : z Z X j R C 1j L j R I U Z ?∠=+=ω-ω+== R X arctan φ X R |Z | z 2 2?????=+=S φ|Y |U I Y y ∠== 定义导纳Z 1 Y , Y 1Z == G R 1U I Y === L B j L j 1U I Y =ω== C B j C j U I Y =ω==

Y —复导纳;|Y| —复导纳的模; y —导纳角; G —电导(导纳的实部);B —电纳(导纳的虚部) 转换关系: 导纳三角形 例题: 对RL 串联电路作如下两次测量:(1)端口加90V 直流电压()时,输入电流为3A ;(2)端口加 的正弦电压90V 时,输入电流为1.8A 。求R 和L 的值。 C L R I I I I ++= U C j U L 1j U G ω+ω-= U )C j L 1j G ( ω+ω-= U )B B j(G [C L ++= U )B j G ( +=y Y B j G L 1 j C j G U I Y ?∠=+=ω-ω+== G B arctan φ B G |Y | y 2 2?????=+=0=ωHz f 50=

题解8-13图 解:由题意画电路如题解8-13图所示。 (1)当为90V 直流电压时,电感L 看作短路,则电阻 (2)当 为90V 交流电压时,设电流,根据相量法,有 故 根据,解得 例题:已知图示电路。求和。 解:设 为参考相量。与同相位,超前 s u Ω ===30390i u R s s u A I I 08.10∠=∠=8 .18.130?+?=+=L L S jX I jX I R U 2 2 308.190L S X U +?==Ω =-=4030)8.190 (22L X L X L ω=H f X X L L L 127.010040 2=== = ππωA I I 1021==I S U S U 1I S U 2I

PCB印制电路板的设计是以电路原理图为根据

PCB印制电路板的设计是以电路原理图为根据 名目 PCB设计简介 具体方法 PCB设计差不多概念 PCB设计要紧的流程 PCB设计简介 具体方法 PCB设计差不多概念 PCB设计要紧的流程 展开 编辑本段PCB设计简介 在高速设计中,可控阻抗板和线路的特性阻抗是最重要和最普遍的问题之一。第一了解一下传输线的定义:传输线由两个具有一定长度的导体组成,一个导体用来发送信号,另一个用来接收信号(切记“回路”取代“地”的概念)。在一个多层板中,每一条线路差不多上传输线的组成部分,邻近的参考平面可作为第二条线路或回路。一条线路成为“性能良好”传输线的关键是使它的特性阻抗在整个线路中保持恒定。 线路板成为“可控阻抗板”的关键是使所有线路的特性阻抗满足一个规定值,通常在25欧姆和70欧姆之间。在多层线路板中,传输线性能良好的关键是使它的特性阻抗在整条线路中保持恒定。 然而,怎么说什么是特性阻抗?明白得特性阻抗最简单的方法是看信号在传输中碰到了什么。当沿着一条具有同样横截面传输线移动时,这类似图1所示的微波传输。假定把1伏特的电压阶梯波加到这条传输线中,如把1伏特的电池连接到传输线的前端(它位于发送线路和回路之间),一旦连接,那个电压波信号沿着该线以光速传播,它的速度通常约为6英寸/纳秒。因此,那个信号确实是发送线路和回路之间的电压差,它能够从发送线路的任何一点和回路的相临点来衡量。图2是该电压信号的传输示意图。 Zen的方法是先“产生信号”,然后沿着这条传输线以6英寸/纳秒的速度传播。第一个0.01纳秒前进了0.06英寸,这时发送线路有余外的正电荷,而回路有余外的负电荷,正是这两种电荷差坚持着这两个导体之间的1伏电压差,而这两个导体又组成了一个电容器。

PCB电路图是什么

印制电路板的设计是以电路原理图为根据,实现电路设计者所需要的功能。印刷电路板的设计主要指版图设计,需要考虑外部连接的布局、内部电子元件的优化布局、金属连线和通孔的优化布局、电磁保护、热耗散等各种因素。好的版图设计可以节约生产成本,达到良好的电路性能和散热性能。简单的版图设计可以用手工实现,复杂的版图设计需要借助计算机辅助设计(CAD)实现。 PCB电路图就是在制版时直接印在覆铜板上的,然后再在印好的班上焊接元件。那我们接下来就来说下PCB电路图怎么看? 首先我们需要熟悉并且能够记住简单的常见的元器件的代表符号,这能够更快速的理解电路的功能;同时我们要能够知道各个元器件的功能和他们之间互相组合能够完成的功能,以及一些常见的基础电路图。 比如电容是一种容纳电荷的器件,二极管是一种具有单向传导电流的电子器件,三极管的主要作用是电流放大,在电路中常用Q表示,在一些电子元件较多和电路较为复杂的电路图中,我们一定要有方式方法去读,才能又快又准确的理解电路图的意思。整体的思路是在拿到大电路图时,首先要把它逐级分散开,然后一步一步分析弄懂它的原理,然后再综合。

一、电路板线路图怎么看?比如以放大电路图为例子来说明 1、在逐级分析时要区分开主要元器件和辅助元器件。放大器中使用的辅助元器件很多,如偏置电路中的温度补偿元件,稳压稳流元器件,防止自激振荡的防振元件、去耦元件,保护电路中的保护元件等。 2、在分析中主要和困难的是反馈的分析,要能找出反馈通路,判断反馈的极性和类型,特别是多级放大器,往往以后级将负反馈加到前级,因此更要细致分析。 3、一般低频放大器常用RC 耦合方式;高频放大器则常常是和LC 调谐电路有关的,或是用单调谐或是用双调谐电路,而且电路里使用的电容器容量一般也比较小。 4、注意晶体管和电源的极性,放大器中常常使用双电源,这是放大电路的特殊性。 二、电子线路图基础知识----几个常用的电路名词 1、支路 电路中流过同一电流的几个元件串联的分支; 2、结点

原理图及PCB板设计基础

原理图设计: 1、信号线束:把单条走线和总线汇集在一起进行连接,可在一个原理图中使用,也可以通 过输入/输出端口,与另外的原理图之间建立连接。 2、电气节点:在导线的T形交叉点处自动放置电气节点,表示所画线路在电气意义上是连 接的。但在十字交叉点处,系统无法判断导线是否连接,不会自动放置电气 节点。如果导线确实是相互连接的,就需要手动放置电气节点。P+J 3、特色工作面板 (1)SCH Inspector(检查器)面板:用于实时显示在原理图中所选取对象的属性;可同时编辑多个被选对象的属性。亦可用①用SCH Filter选中所需对象;②用SCH List对对象进行参数更改。来实现 (2)SCH Filter(过滤器)面板:查找多个具有相同或相似属性的对象,进而对其进行编辑或修改; (3)SCH List(列表)面板:进行过滤查找后,查找的结果除了在编辑窗口内直接显示出来以外,用户还可以使用SCH List面板对查找结果进行系统的浏览,并且可 以对有关对象的属性直接编辑修改。 (4)选择内存面板:把当前原理图文件或所有打开的原理图文件中的选取对象存入某一内部存储器中,需要时直接调用;还可以随时把新的选取对象加入内部存储器 中或者清除不在需要的对象等。 ①存储:Shift+1或者STO1按钮;②浏览:apply;③调用:RCL1按钮。 4、联合与片段: (1)联合及打碎器件:选中对象+右键unions。联合后的对象可以作为单个对象在窗口内进行移动、排列等编辑操作或者删除。 (2)片段:片段的生成与联合的生成过程基本相同。所不同的是,片段可以长久保存,并且能够使用系统提供的片段面板进行查看、管理。System-snippets PCB设计: 1、多层板的埋孔、过孔和盲孔(作用:连接所设计的电子线路,电气检查也不会报错) 导通孔:一种用于内层连接的金属化孔,并不用于插入元件引线或其他增强材料; 过孔:至少连通顶层和底层之间的电气连接通孔,过孔在顶层和底层上没有实际的电气连接;埋孔:一端连接在顶层或底层,另一端连接在中间层的电气连接半开孔;(一面没有空间允许设置过孔焊盘,另外在高速电路设计时设置埋孔还可以减小过孔焊盘的寄生电容、寄生电感对电子线路的影响) 盲孔:在两层中间层之间进行电气连接的金属化孔;(可以增加其他层面的走线空间,在高速电路设计中盲孔有利于电子线路电气性能的提高) 元件孔:用于将插针式元器件固定在印刷版上并进行电气连接的孔。 注:使用盲孔、埋孔一是因为对印刷电路板尺寸有要求,布线密度高,布线空间不够;二是在高速电路设计中,使用埋孔、盲孔能有效减小线路信号辐射,从而减小布线给高频小信号带来的电气干扰,但是在多层设计中大规模使用盲孔和埋孔会增加印刷版的制造成本。使用过孔对不同板层间的电子线路进行电气连接,能有效地减小印刷电路板的制造成本,也有利于提高印刷电路板的成品率。 2、印刷电路板常用术语 封装:插针式、表贴式; 过孔:被沉积上一层金属导电膜的小孔,用来连接不同层之间的铜膜导线,以建立电气连接。

pcb板电路原理图分模块解析

PCB板电路原理图分模块解析 前面介绍了电路图中得元器件得作用与符号。一张电路图通常有几十乃至几百个元器件,它们得连线纵横交叉,形式变化多端,初学者往往不知道该从什么地方开始, 怎样才能读懂它。其实电子电路本身有很强得规律性,不管多复杂得电路,经过分析可以发现,它就是由少数几个单元电路组成得。好象孩子们玩得积木,虽然只有十来种或二三十种块块,可就是在孩子们手中却可以搭成几十乃至几百种平面图形或立体模型。同样道理,再复杂得电路,经过分析就可发现,它也就是由少数几个单元电路组成得.因此初学者只要先熟悉常用得基本单元电路,再学会分析与分解电路得本领,瞧懂一般得电路图应该就是不难得。 按单元电路得功能可以把它们分成若干类,每一类又有好多种,全部单元电路大概总有几百种。下面我们选最常用得基本单元电路来介绍。让我们从电源电路开始. 一、电源电路得功能与组成 每个电子设备都有一个供给能量得电源电路。电源电路有整流电源、逆变电源与变频器三种。常见得家用电器中多数要用到直流电源.直流电源得最简单得供电方法就是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)得缺点,因此最经济可靠而又方便得就是使用整流电源。 电子电路中得电源一般就是低压直流电,所以要想从 220 伏市电变换成直流电,应该先把220伏交流变成低压交流电,再用整流电路变成脉动得直流电,最后用滤波电路滤除脉动直流电中得交流成分后才能得到直流电.有得电子设备对电源得质量要求很高, 所以有时还需要再增加一个稳压电路。因此整流电源得组成一般有四大部分,见图 1 。其中变压电路其实就就是一个铁芯变压器,需要介绍得只就是后面三种单元电路. 二、整流电路 整流电路就是利用半导体二极管得单向导电性能把交流电变成单向脉动直流电得电路。 (1)半波整流 半波整流电路只需一个二极管,见图2( a)。在交流电正半周时 VD 导通,负半周时 VD 截止,负载R上得到得就是脉动得直流电

电子电路原理及设计及PCB板制作

电子电路原理与设计及PCB制作第一部分、模拟电子电路 第一章二极管及其应用 课题一晶体二极管的识别与测试 课题二半波整流滤波电路原理与测试 课题三抽头式全波整流电路原理与测试 课题四桥式全波整流电路原理与测试 第二章三极管及放大电路基础 课题四晶体三极管的识别与测试 课题五三极管基本放大电路原理与调试 第三章常用放大电路 课题六射极跟随器原理与调试 课题七低频功率放大器原理与调试 课题八音频功率放大器原理与调试 第四章直流稳压源 课题九直流稳压电流的组成 课题十串联型直流稳压电源的原理与调试 课题十一开关型稳压电源的原理与调试 第二部分数字电子技术 第一章数字电路基础 第二章组合逻辑电路 第三章触发器 第四章时序逻辑电路

第三部分、PCB板设计基础模块 课题一印制电路板与Protel DXP2004概述 1.1印制电路板设计的基本知识 1.1.1印制电路板的组成 1.1.2 印制电路板的板层结构 1.1.3 印制电路板的工作层类型 1.1.4 元器件封装的基本知识 1.2 Protel DXP2004简介 1.2.1 Protel DXP2004的应用领域 1.2.2 Protel DXP 2004的发展演变 1.2.3 Protel DXP 2004的组成特点 1.2.4 Protel DXP 2004的运行环境 1.2.5 Protel DXP 2004的安装与卸载 1.3 Protel DXP2004界面简介 1.3.1 菜单栏 1.3.2 工具栏 1.3.3 状态栏与命令行 1.3.4 标签栏与工作窗口面板 1.4 Protel DXP2004的工作流程 1.4.1 启动并设置Protel DXP2004工作环境 1.4.2 绘制电路原理图

电路原理图与电路板设计实验报告

电路原理图与电路板设计实验报告 学院: 班级: 专业: 姓名: 学号: 指导老师:

河南工业大学实验报告专业班级姓名 学号 同组者姓名完成日期 成绩评定 实验题目:(一)原理图设计环境画原理图实验 实验目的: 1.熟练PROTEL99se的原理图编辑环境。 2.掌握常用管理器,菜单的使用,电气规则检查。 3.掌握元器件的调用,属性含义。 实验内容: 教材: 1.1,1.2,1.3,1.4环境熟悉 2.1,2.2工具条对象,器件调用 2.3,2.4菜单使用,元件属性修改 4.2练习1---练习8 实验仪器:PROTEL99se软件

实验步骤: (1)放置元件:就是在元件库中找元件,然后用元件管 理器的Place按钮将元件放在原理图中。 放置元件时需要使用如下所示快捷键: 空格键:每单击一次空格键使元件逆时针旋转90度。 TAB键:当元件浮动时,单击TAB键就可以显示属性编辑窗口。 X键:元件水平镜像。 Y键:元件垂直镜像。 (2)连接导线。使用划线工具连接导线。 (3)放置电源,地线和网络标记。放置电源和地线标记前要显示电源地线工具箱。 (4)自动元件编号:使用菜单Tool/Annotate对元件自动编号。 (5)编辑元件属性。单击元件,在弹出的属性窗口中输入元件的属性,注意一定要输入元件封装。(6)电气规则检查。使用Tool/ERC菜单,对画好的原理图进行电气规则检查,检查完毕后,出现报 表信息,就可以进行下一步。 (7)原件图元件列表。使用Edit/Export to Spread菜单,按照向导提示进行操作。

(8)建立网络表。使用菜单Design/Netlist。 实验截图: 注意事项: 连线:从器件的端点开始到端点结束,不要多余的线,按空格旋转原件;PAGEDOWN PAGEUO缩放。

PCB线路板反推原理图的方法解析

PCB线路板反推原理图的方法解析 在PCB反向技术研究中,反推原理图是指依据PCB文件图反推出或者直接根据产品实物描绘出PCB电路图,旨在说明PCB板原理及工作情况。并且,这个电路图也被用来分析产品本身的功能特征。而在正向设计中,一般产品的研发要先进行原理图设计,再根据原理图进行PCB设计。 无论是被用作在反向研究中分析线路板原理和产品工作特性,还是被重新用作在正向设计中的PCB设计基础和依据,PCB原理图都有着特殊的作用。那么,根据文件图或者实物,怎样来进行PCB原理图的反推,反推过程有该注意那些细节呢? 一、合理划分功能区域 在对一块完好的PCB电路板进行原理图的逆向设计时,合理划分功能区域能够帮工程师减少一些不必要的麻烦,提高绘制的效率。一般而言,一块PCB板上功能相同的元器件会集中布置,以功能划分区域可以在反推原理图时有方便准确的依据。 但是,这个功能区域的划分并不是随意的。它需要工程师对电子电路相关知识有一定的了解。首先,找出某一功能单元中的核心元件,然后根据走线连接可以顺藤摸瓜的找出同一功能单元的其他元件,形成一个功能分区。功能分区的形成是原理图绘制的基础。另外,在这一过程中,不要忘记巧妙利用电路板上的元器件序号,它们可以帮助您更快的进行功能分区。 二、找对基准件 这个基准件也可以说是在进行原理图绘制之初所借助的主要部件PCB网城,在确定基准件之后,根据这些基准件的引脚进行绘制,能够在更大程度上保证原理图的准确性。 对于工程师而言,基准件的确定不是很复杂的事情,一般情况下,可以选择在电路中起主要作用的元器件作为基准件,它们一般体积较大、引脚较多,方便绘制的进行,如集成电路、变压器、晶体管等等,都可以作为合适的基准件。 三、正确区分线路,合理绘制布线 对于地线、电源线、信号线的区分,同样需要工程师有相关的电源知识、电路连接知识、

(完整word版)电路原理图及PCB设计规范报告

电路原理图及PCB设计规范探讨 一、原理图绘制规范 1、电阻标号规范:电阻的标号统一采用Rn,R代表的是电阻,n代表的是编号1、 2、3······依照依次增大的原则。滑动电阻标号统一采用RPn,RP代表的是电阻,n代表的是编号1、2、3······依照依次增大的原则。 2、电容标号规范:电容的标号统一采用Cn,C代表的是电容,n代表的是编号1、2、3······依照依次增大的原则。 3、其它元件的标号规范:三极管的标号统一采用Qn,排针和接头都采用JPn,Q代表的是三极管,JP代表的是排针和接头,n代表的是编号1、2、3······依照依次增大的原则。

4、电源标识规范:正负电源统一采用+VCC,—VCC。当有其它的不同电源值的电源的时候,其规范为+或—所加的电源值,如正负电源3.3V分别表示为+3.3V,—3.3V。 5、布局规范:在设计允许的范围内,尽量按照原理图的设计思路,比如方波、三角波、正弦波之间的相互转换。 6、其他规范:在元器件的放置时考虑美观,原理图对称的时候放置元器件也对称,走线也遵循这样的原则,之后生成元器件报表。 7、原理图 二、PCB设计流程 (一)Pcb设计准备 1.与项目主管确认电路原理图设计已经通过评审,且不会有较大更改。 2.确认所有器件封装都已经建立,位于Powerpcb标准器件库或临时器件库。 3.熟悉电路要求:了解电路原理、接口和模块划分;了解电路设计中对PCB 设计有特殊要求的网络和器件,如高速信号、设计关键点、特定封装的器件(如对于安装在印刷电路板上的较大的组件,要加金属附件固定,以提高耐振、耐冲击性能);对PCB布局设计的特殊要求(如需要尽量放在正面的器件、需要考虑散热的器件等)。 4.了解结构制约:与项目主管、工业设计人员一起协商确定外部接口的要求、 影响内在结构的器件和电路板尺寸的要求。 5.分析和确定PCB的层数、基本布局、层安排、散热考虑、产品EMC/ESD等。(二)Pcb布局设计(前期设计) 1.网表输入:运行“FILE->INPORT”导入。

相关文档
最新文档