M序列产生及其特性仿真实验报告

M序列产生及其特性仿真实验报告
M序列产生及其特性仿真实验报告

M序列产生及其特性仿真实验报告

一、三种扩频码序列简介

1.1 m序列

它是由多级移位寄存器或其他延迟元件通过线性反馈产生的最长的码序列。

m序列的特性

1、最长周期序列:N=2n-1

2、功率平衡性:‘1’的个数比‘0’的个数多1

3、‘0’、‘1’随机分布:近似高斯噪声

4、相移不变性:任意循环移位仍是m序列,仅初相不同

5、离散自相关函数:‘0’->+1,‘1’->-1

1.2 Gold序列

Gold序列是两个等长m序列模二加的复合序列

两个m序列应是“优选对”

特点:

1、包括两个优选对m序列,一个Gold序列族中共有2n+1个Gold

序列

2、Gold序列族中任一个序列的自相关旁瓣及任意两个序列的互相关峰值均不超过两个m序列优选对的互相关峰值

1.3OVSF序列

又叫正交可变扩频因子,系统根据扩频因子的大小给用户分配资源,数值越大,提供的带宽越小,是一个实现(CDMA)信号传输的代码,它由Walsh函数生成,OVSF码互相关为零,相互完全正交。

OVSF序列的特点

1、序列之间完全正交

2、极适合用于同步码分多址系统

3、序列长度可变,不影响正交性,是可变速率码分系统的首选多址扩频码

4、自相关性很差,需与伪随机扰码组合使用

二、三种扩频码序列产生仿真

一、M序列的产生代码:

X1=1;X2=0;X3=1;X4=0; %输入Xi初T态(0101), Yi为各级输出

m=60; %置M序列总长度for i=1:m %1#

Y4=X4; Y3=X3; Y2=X2; Y1=X1; X4=Y3; X3=Y2; X2=Y1;

X1=xor(Y3,Y4); %运算

if Y4==0

U(i)=-1;

else

U(i)=Y4;

end

end

M=U

%绘图

i1=i

k=1:1:i1;

plot(k,U,k,U,'rx')

xlabel('k')

ylabel('M序列')

title('产生的M序列')

用阶梯图产生表示:

X1=1;X2=0;X3=1;X4=0; %移位寄存器输入Xi初T态(0101),Yi为移位寄存器各级输出m=60; %置M序列总长度

for i=1:m %1#

Y4=X4; Y3=X3; Y2=X2; Y1=X1;

X4=Y3; X3=Y2; X2=Y1;

X1=xor(Y3,Y4); %异或运算

if Y4==0

U(i)=-1;

else

U(i)=Y4;

end

end

M=U

%绘图

stairs(M);

二、GOLD序列的产生:

M序列A的生成:

X1=1;X2=0;X3=1;X4=0; %移位寄存器输入Xi初T态(1010), Yi为移位寄存器各级输出

m=60; %置M序列总长度

for i=1:m %1#

Y4=X4; Y3=X3; Y2=X2; Y1=X1;

X4=Y3; X3=Y2; X2=Y1;

X1=xor(Y3,Y4); %异或运算

if Y4==0

A(i)=0;

else

A(i)=Y4;

end

end

M=A

%绘图

i1=i

k=1:1:i1;

plot(k,A,k,A,'rx')

xlabel('k')

ylabel('M序列')

title('移位寄存器产生的M序列')

M序列B的生成:

X1=0;X2=1;X3=0;X4=1; %移位寄存器输入Xi初T态(0101), Yi为移位寄存器各级输出

m=60; %置M序列总长度

for i=1:m %1#

Y4=X4; Y3=X3; Y2=X2; Y1=X1;

X4=Y3; X3=Y2; X2=Y1;

X1=xor(Y3,Y4); %异或运算

if Y4==0

B(i)=0;

else

B(i)=Y4;

end

end

N=B

%绘图

i1=i

k=1:1:i1;

plot(k,B,k,B,'rx')

xlabel('k')

ylabel('M序列')

title('移位寄存器产生的M序列') 生成gold序列:

c=xor(A,B);

stairs(c);

三、OVSF序列的产生:

%Function [OVSF_Codes]=OVSF_Generator(Spread_Fator,Code_Number)

%Code_Number=-1 表示生成所有扩频因子=Spread_Factor的ovsf码Code_Number=-1;

Spread_Fator=8;

OVSF_Codes=1;

if Spread_Fator==1

return;

end

for i=1:1:log2(Spread_Fator)

Temp=OVSF_Codes;

for j=1:1:size(OVSF_Codes,1)

if j==1

OVSF_Codes=[Temp(j,:),Temp(j,:) Temp(j,:),(-1)*Temp(j,:)];

else

OVSF_Codes=[OVSF_Codes Temp(j,:),Temp(j,:) Temp(j,:),(-1)*Temp(j,:)];

end

end

end

%if Code_Number>-1

% OVSF_Codes=OVSF_Codes((Code_Number+1),:);

%end

figure(3)

[b4,t4]=stairs([1:length(OVSF_Codes)],OVSF_Codes); plot(b4,t4);

axis([0 130 -1.1 1.1]);title('OVSF序列')

三、三种扩频码序列特性仿真

(一)M序列自相关函数

X1=1;X2=0;X3=1;X4=0; %输入Xi初T态(0101), Yi为各级输出m=2^8-1; %置M序列总长度

for i=1:m %1#

Y4=X4; Y3=X3; Y2=X2; Y1=X1;

X4=Y3; X3=Y2; X2=Y1;

X1=xor(Y3,Y4); %运算

if Y4==0

U(i)=-1;

else

U(i)=Y4;

y = xcorr(U);

stairs(y);

end

互相关函数:

输入两个m序列

clc

clear all

close all

m1 = [0 1 0 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 1 1 0 0 0 1] m2 = [1 0 1 0 1 1 1 0 0 1 1 1 0 0 1 0 1 0 0 1 1 1 0 1] y = xcorr(m1,m2,'unbiased');

stairs(y)

(二)Gold码的自相关函数

x2=[(2*c)-1];%将运行结果Gold序列c从单极性序列变为双极性序列y1=xcorr(x2,'unbiased');%求自相关性

stairs(y1);

grid

xlabel('t')

ylabel('相关性')

title('移位寄存器产生的Gold序列的相关性')

互相关性

gold序列和m序列的互相关性y1=xcorr(c,m1,'unbiased'); stairs(y1);

(三)ovsf码的互相关和自相关

a=[1 -1 1 1 -1 1 -1 -1];

b=[1 -1 -1 1 1 -1 -1 1];

P=length(a);

%求序列a的自相关函数

Ra(1)=sum(a.*a);

for k=1:P-1

Ra(k+1)=sum(a.*circshift(a,[0,k])); end

%求序列b的自相关函数

Rb(1)=sum(b.*b);

for k=1:P-1

Rb(k+1)=sum(b.*circshift(b,[0,k])); end

%求序列a和b的互相关函数

Rab(1)=sum(a.*b);

for k=1:P-1

Rab(k+1)=sum(a.*circshift(b,[0,k])); end

x=[0:P-1];

figure(9)

subplot(3,1,1);

stem(x,Rab);

ylabel('a和b的互相关函数');

axis([0 P-1 -10 12]);

grid;

xlabel('偏移量');

subplot(3,1,2);

stem(x,Ra);

ylabel('a自相关函数');

xlabel('偏移量');

%axis([0 P-1 -5 30]);

subplot(3,1,3);

stem(x,Rb);

%plot(x,Rb)

xlabel('偏移量');

ylabel('b的自相关函数');

四、总结

一、M序列自相关函数近似于冲激函数的形状,不同序列间的互相关特性一致性不好。

二、Gold序列的自相关特性略差于m序列,但互相关特性优于m序列

三、OVSF序列之间完全正交,即互相关性很好,因此极适合用于同步码分多址系统,但自相关性很差。

多元时间序列建模分析

应用时间序列分析实验报告

单位根检验输出结果如下:序列x的单位根检验结果:

1967 58.8 53.4 1968 57.6 50.9 1969 59.8 47.2 1970 56.8 56.1 1971 68.5 52.4 1972 82.9 64.0 1973 116.9 103.6 1974 139.4 152.8 1975 143.0 147.4 1976 134.8 129.3 1977 139.7 132.8 1978 167.6 187.4 1979 211.7 242.9 1980 271.2 298.8 1981 367.6 367.7 1982 413.8 357.5 1983 438.3 421.8 1984 580.5 620.5 1985 808.9 1257.8 1986 1082.1 1498.3 1987 1470.0 1614.2 1988 1766.7 2055.1 1989 1956.0 2199.9 1990 2985.8 2574.3 1991 3827.1 3398.7 1992 4676.3 4443.3 1993 5284.8 5986.2 1994 10421.8 9960.1 1995 12451.8 11048.1 1996 12576.4 11557.4 1997 15160.7 11806.5 1998 15223.6 11626.1 1999 16159.8 13736.5 2000 20634.4 18638.8 2001 22024.4 20159.2 2002 26947.9 24430.3 2003 36287.9 34195.6 2004 49103.3 46435.8 2005 62648.1 54273.7 2006 77594.6 63376.9 2007 93455.6 73284.6 2008 100394.9 79526.5 run; proc gplot; plot x*t=1 y*t=2/overlay; symbol1c=black i=join v=none; symbol2c=red i=join v=none w=2l=2; run; proc arima data=example6_4; identify var=x stationarity=(adf=1); identify var=y stationarity=(adf=1); run; proc arima; identify var=y crrosscorr=x; estimate methed=ml input=x plot; forecast lead=0id=t out=out; proc aima data=out; identify varresidual stationarity=(adf=2); run;

m序列产生及其特性实验

湖南科技大学 移动通信实验报告 姓 名: 吴文建 学 号: 1208030104 专业班级: 应用电子技术教育一班 实验名称: m 序列产生及其特性实验 实验目的: 掌握m 序列的特性、产生方法及其应用 实验仪器:1、pc 机一台 2、 实验原理: 1、m 序列的产生 :m 序列是由带线性反馈的移存器产生的。结构如图: a n-1 a n-r ... a n-3 a n-2 C 1 C r C 3C 2 ...C 0 输出 输出为反馈移位寄存器的结构,其中an-i 为移位寄存器中每位寄存器的状态,Ci 为第i 位寄存器的反馈系数。Ci =1表示有反馈,Ci =0表示无反馈。 一个线性反馈移位寄存器能否产生m 序列,取决于它的反馈系数Ci (例如上图的C3)。 对于m 序列,Ci 的取值必须按照一个本原多项式: ∑==n i i i x C x f 0 )(中的二进制系数来取值。 n 级移位寄存器可以产生的m 序列个数由下式决定: r N r ) 12(-Φ= 其中φ(x )为欧拉函数,表示小于等于x 并与x 互质的正整数个数(包括1在内)。 表1-1-1列出了部分m 序列的反馈系数C i ,按照下表中的系数来构造移位寄存器,就能产生相应的m 序列。

表1-1-1 m序列的反馈系数表 m序列的级数n m序列的周期P 反馈系数Ci(八机制) 3 7 13 4 1 5 23 5 31 45,67,75 6 63 103,147,155 7 127 203,211,217,235,277,313,325,345,367 8 255 435,453,537,543,545,551,703,747 9 511 1021,1055,1131,1157,1167,1175 10 1023 2011,2033,2157,2443,2745,3271 11 2047 4005,4445,5023,5263,6211,7363 12 4095 10123,11417,12515,13505,14127,15053 13 8192 20033,23261,24633,30741,32535,37505 14 16383 42103,51761,55753,60153,71147,67401 15 32765 100003,110013,120265,133663,142305 m序列的具有以下性质: (1)均衡性。m序列中0和1的数目基本相等 (2)游程分布 (3)移位相加性 (4)相关特性。自相关波形如图1-1-3所示 -1/p 1 P 图1-1-3 m序列的自相关波形(5)周期性 (6)伪随机性。分布无规律,具有与白噪声相似的伪随机特性 实验步骤: (1)预习m序列产生原理及其性质,独立设计m序列产生方法。 (2)画出m序列仿真流程图 (3)编写MATLAB程序并上机调试。 (4)验证m序列的相关性质。 (5)撰写实验报告。

时间序列分析实验报告(3)

《时间序列分析》课程实验报告

一、上机练习(P124) 1.拟合线性趋势 12.79 14.02 12.92 18.27 21.22 18.81 25.73 26.27 26.75 28.73 31.71 33.95 程序: data xiti1; input x@@; t=_n_; cards; 12.79 14.02 12.92 18.27 21.22 18.81 25.73 26.27 26.75 28.73 31.71 33.95 ; proc gplot data=xiti1; plot x*t; symbol c=red v=star i=join; run; proc autoreg data=xiti1; model x=t; output predicted=xhat out=out; run; proc gplot data=out; plot x*t=1 xhat*t=2/overlay; symbol2c=green v=star i=join; run; 运行结果:

分析:上图为该序列的时序图,可以看出其具有明显的线性递增趋势,故使用线性模型进行拟合:x t=a+bt+I t,t=1,2,3,…,12 分析:上图为拟合模型的参数估计值,其中a=9.7086,b=1.9829,它们的检验P值均小于0.0001,即小于显著性水平0.05,拒绝原假设,故其参数均显著。从而所拟合模型为:x t=9.7086+1.9829t.

分析:上图中绿色的线段为线性趋势拟合线,可以看出其与原数据基本吻合。 2.拟合非线性趋势 1.85 7.48 14.29 23.02 37.42 74.27 140.72 265.81 528.23 1040.27 2064.25 4113.73 8212.21 16405.95 程序: data xiti2; input x@@; t=_n_; cards; 1.85 7.48 14.29 23.02 37.42 74.27 140.72 265.81 528.23 1040.27 2064.25 4113.73 8212.21 16405.95 ; proc gplot data=xiti2; plot x*t; symbol c=red v=star i=none; run; proc nlin method=gauss; model x=a*b**t; parameters a=0.1 b=1.1; der.a=b**t; der.b=a*t*b**(t-1); output predicted=xh out=out; run; proc gplot data=out; plot x*t=1 xh*t=2/overlay;

基于5级m序列的探究及仿真

通信系统综合设计与实践 题目基于5级m序列 的反馈系数的探究 院(系)名称信息工程学院 专业名称通信工程 学生姓名金宇、张艳丽、赵春阳 学生学号090110079、090110085、090110026 指导教师赵春雨 2012年05月21日

目录 1背景及原理 (1) 1.1探究n级m序列的反馈系数背景及目的 (1) 1.2 生成m序列的原理及方法 (1) 2 确定反馈系数的方法 (3) 2.1 判断本原多项式的方法 (3) 2.2 基于5级循环序列发生器特征方程组中满足本原多项式的反馈系数的分 析 (3) 2.3 基于5级循环序列发器反馈系数的程序 (5) 3 m序列的相关性质 (8) 3.1 m序列的性质 (8) 3.2 不同反馈系数对应m序列的性质的分析 (8) 3.2.1、平衡性 (8) 3.2.2、自相关性 (9) 3.2.3 互相关性分析 (12) 4 不同反馈系数对应的m序列对扩频通信系统抗干扰性能影响 (16) 4.1 m序列在直接序列扩频通信系统应用的simulink的仿真观察 (16) 4.2 不同/相同长度的不同m序列对扩频通信系统性能影响的matlab的仿 真 (19) 总结 (22) 参考文献 (23) 附录 (24) 附录A (24) 附录B (24) 附录C (25) 附录D (26) 指导教师评语: (31)

基于5级m序列的反馈系数的探究 摘要 m序列易于从干扰信号中被识别和分离出来,又可以方便地产生和重复,有随机噪声的优点,易于实现相关接受或匹配接受, 因此伪随机序列在相关辩识、伪码测距、扩频通信、多址通信、分离多径、误码测试、数据加扰、信号同步等方面均有广泛的应用。n级循环序列生成器生成m序列和自身的反馈系数密切相关,本文我们提供了n级循环序列发生器能产生m序列的反馈系数的判断方法,及分析了不同的反馈系数对扩频通信系统性能的影响,并在matlab环境下运行了模拟仿真。 首先,我们利用本原多项式生成算法,确定一个伪随机序列的特征方程中对应的m序列,进而确定相关m序列的反馈系数。又对m序列的性质进行的相关分析,我们得出了m序列平衡性为1,m序列越尖锐自相关性越明显,且仅在k=0时出现峰值。我们又进一步利用matlab仿真并分析不同m序列在直接扩频通信系统中的仿真,我们可知在实际应用中选择自相关性大、互相关小的m序列作为扩频序列,另外m序列越长可以提高系统的抗干扰能力、降低系统的误码率及增加系统的容量,由此选择最佳的反馈系数生成最优的m序列对于提高扩频通信系统性能指标具有非常重要的意义。 关键词: 伪随机序,m序列,反馈系数,仿真

时间序列分析实验报告

时间序列分析实验报告 P185#1、某股票连续若干天的收盘价如表5-4 (行数据)所示。 表5-4 304 303 307 299 296 293301 293 301 295 284286 286 287 284 282278 281 278 277279 278 270 268 272 273 279 279280 275 271 277 278279 283 284 282 283279 280 280 279278 283 278 270 275 273 273 272275 273 273 272 273272 273 271 272 271273 277 274 274272 280 282 292 295 295 294 290 291 288 288 290 293 288 289 291 293 293 290 288 287 289 292 288 288 285 282 286 286 287 284 283 286 282 287 286 287 292 292 294 291 288 289 选择适当模型拟合该序列的发展,并估计下一天的收盘价。 解: (1)通过SA漱件画出上述序列的时序图如下: 程序: data example5_1; in put x@@; time=_ n_; cards ; 304 303 307 299296 293 301 293 301 295 284286286 287 284 282 278 281 278277 279 278 270 268 272 273279279 280 275 271 277 278 279283 284 282 283 279 280 280279278 283 278 270 275 273 273272 275 273 273 272 273 272273271 272 271 273 277 274 274272 280 282 292 295 295 294290291 288 288 290 293 288 289291 293 293 290 288 287 289292288 288 285 282 286 286 287284 283 286 282 287 286 287292292 294 291 288 289 proc gplot data =example5_1; plot x*time= 1; symbol1 c=black v=star i =join; run ; 上述程序所得时序图如下: 上述时序图显示,该序列具有长期趋势又含有一定的周期性,为典型的非平稳序列。又因为该序列呈现曲线形式,所以选择2阶差分。

m序列特性

1.移位相加后 function y=yiwei(m) m=[1 0 0 0 1 1 1 1 0 1 0 1 1 0 0]; N=length(m); m0=m; x=input('请输入移位长度:'); m=[m(x+1:N) m(1:x)]; m=mod(m+m0,2); for n=1:N if m0==m disp('满足移位相加后是原序列,移位:');n break; else m=[m(2:N) m(1)]; end if n==N disp('不满足移位后是原序列'); end end 2.游程特性 function y=youcheng(m) m=[1 0 0 0 1 1 1 1 0 1 0 1 1 0 0]; N=length(m); s=0;s0=1;t=0;t0=1; m=[m m(1)]; for i=1:N if m(i)==0&&m(i+1)==1 s=s+1;s1(s)=s0;s0=1; else if m(i)==0&&m(i+1)==0 s0=s0+1; end end if m(i)==1&&m(i+1)==0 t=t+1;t1(t)=t0;t0=1; else if m(i)==1&&m(i+1)==1 t0=t0+1; end end end disp('零游程的个数为:');s disp('他们的长度分别为:');s1 disp('一游程的个数为:');t disp('他们的长度分别为:');t1 3.平衡特性 function y=pingheng(m) m=[1 0 0 0 1 1 1 1 0 1 0 1 1 0 0]; N=length(m); s=0; for i=1:N

m序列Simulink仿真实现

m序列Simulink仿真Verilog实现1. 4阶m序列生成器

Simulink模型如下: 其中,可以在Unit Delay属性中设置初始值为1000,由于Unit Delay输出为double,所以要将其转为Boolean以便进行模二加运算,使用XOR实现。

下面分别是最后一级和所有级的输出波形,可以看出,与上面的是一致的。

Verilog实现 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 11:02:17 05/01/2012 // Design Name: // Module Name: PNcode // Project Name: ////////////////////////////////////////////////////////////////////////////////// module PNcode( clk, rst, PNstate, PNout

); input clk; input rst; output PNstate; output PNout; // PN code n = 4, f(x) = 1 + x + x^4 parameter order = 4; reg PNout = 0; reg [order-1 : 0] PNstate = 0; always @ (posedge clk) if(rst == 1) begin PNout <= 0; PNstate <= 4'b1000; // PN seed = b1000 end else begin PNout <= PNstate[0]; PNstate <= {PNstate[3]+PNstate[0], PNstate[3:1]}; end endmodule 测试文件: `timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 14:37:43 05/01/2012 // Design Name: PNcode // Module Name: E:/me/CAST/Project/FPGAcomm/PNcode/PNcode_tb.v

m序列和Gold序列特性研究

扩频通信实验报告 - I- Harbin Institute of Technology 扩频通信实验报告 课程名称: 扩频通信 实验题目: Gold 码特性研究 院 系: 电信学院 班 级: 通信一班 姓 名: 学 号: 指导教师: 迟永钢 时 间: 2012年5月8日 哈尔滨工业大学

第1章实验要求 1.以r=5 1 45E为基础,抽取出其他的m序列,请详细说明抽取过程; 2.画出r=5的全部m序列移位寄存器结构,并明确哪些序列彼此是互反多项式; 3.在生成的m序列集中,寻找出m序列优选对,请确定优选对的数量,并画 出它们的自相关和互相关函数图形; 4.依据所选取的m序列优选对生成所有Gold序列族,确定产生Gold序列族的 数量,标出每个Gold序列族中的所有序列,并实例验证族内序列彼此的自相关和互相关特性; 5.在生成的每个Gold序列族内,明确标出平衡序列和非平衡序列,并验证其 分布关系。 6.完整的作业提交包括:纸质打印版和电子版两部分,要求两部分内容统一, 且在作业后面附上源程序,并加必要注释。 7.要求统一采用Matlab软件中的M文件实现。

第2章 实验原理 2.1 m 序列 二元m 序列是一种伪随机序列,有优良的自相关函数,是狭义伪随机序列。m 序列易于产生于复制,在扩频技术中得到了广泛应用。 2.1.1 m 序列的定义 r 级非退化的移位寄存器的组成如图1所示,移位时钟源的频率为c R 。r 级线性移位寄存器的反馈逻辑可用二元域GF(2)上的r 次多项式表示 2012() {0,1}r r i f x c c x c x c x c =++++∈ (1) 图 2-1 r 级线性移位寄存器 式(1)称为线性移位寄存器的特征多项式,其给出的表示反馈网络的而逻辑关系式是现行的。因此成为线性移位寄存器。否则称为,非线性移位寄存器。 对于动态线性移位寄存器,其反馈逻辑也可以用线性移位寄存器的递归关系式来表示 112233 {0,1}i i i i r i r i a c a c a c a c a c ----=++++∈ (2) 特征多项式(1)与递归多项式(2)是r 级线性移位寄存器反馈逻辑的两种不同种表示法,因其应用的场合不同而采用不同的表示方法。以式(1)为特征多项式的r 级线性反馈移位寄存器所产生的序列,其周期21r N ≤-。假设以GF(2)域上r 次多项式(1)为特征多项式的r 级线性移位寄存器所产生的非零序列{}i a 的周期为21r N =-,称序列为{}i a 是最大周期的r 级线性移位寄存器序列,简称m 序列。

基于MATLAB的m序列产生

第一章设计内容及要求 基于MATLAB产生m序列 要求: 1.通过matlab编程产生m序列的产生原理及其产生方法。 2.对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。

第二章m序列设计方案的选择 2.1 方案一 MATLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。 2.2 方案二 图2.1 Simulink实现m序列 Simulink是MATLAB最重要的组件之一,它提供了一个动态系统建模,仿真和综合分析的集成环境。在此环境中无需大量书写程序,而只需通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应性广,结构及流程清晰及仿真精细等优点,基于以上优点,Simulink已被广泛的运用到控制理论和数字信号处理的复杂仿真和设计。 通过比较方案一和方案二,发现方案一的有点具有通用性而方案二利用MATLAB的Simulink直接搭建模块,在移位寄存器较少的情况下利用此方法比较简单,可是当移位寄存器的个数增多时,要搭建那么多的模块就显的很繁琐了,缺乏通用性,因此本次实验选择方案一。

第三章m序列的产生及性质 3.1 m序列的产生原理、结构及产生 m序列是最长线性反馈移位寄存器序列的简称,m序列是由带线性反馈的移位寄存器产生的。 由n级串联的移位寄存器和反馈逻辑线路可组成动态移位寄存器,如果反馈逻辑线路只由模2和构成,则称为线性反馈移位寄存器。 带线性反馈逻辑的移位寄存器设定初始状态后,在时钟触发下,每次移位后各级寄存器会发生变化,其中任何一级寄存器的输出,随着时钟节拍的推移都会产生一个序列,该序列称为移位寄存器序列。 n级线性移位寄存器的如图3.1所示: ◇A 图3.1 n级线性移位寄存器 图中C i表示反馈线的两种可能连接方式,C i=1表示连线接通,第n-i 级输出加入反馈中;C i=0表示连线断开,第n-i级输出未参加反馈。 因此,一般形式的线性反馈逻辑表达式为 ------表达式3.1将等式左边的a n移至右边,并将a n=C0a n(C0=1)带入上式,则上式可以 写成 -------表达式3.2 定义一个与上式相对应的多项式 --------表达式3.3 其中x的幂次表示元素的相应位置。该式为线性反馈移位寄存器的特征

spss时间序列模型

《统计软件实验报告》SPSS软件的上机实践应用 时间序列分析

数学与统计学学院 一、实验内容: 时间序列是指一个依时间顺序做成的观察资料的集合。时间序列分析过程中最常用的方法是:指数平滑、自回归、综合移动平均及季节分解。 本次实验研究就业理论中的就业人口总量问题。但人口经济的理论和实践表明,就业总量往往受到许多因素的制约,这些因素之间有着错综复杂的联系,因此,运用结构性的因果模型分析和预测就业总量往往是比较困难的。时间序列分析中的自回归求积分移动平均法(ARIMA)则是一个较好的选择。对于时间序列的短期预测来说,随机时序ARIMA是一种精度较高的模型。 我们已辽宁省历年(1969-2005)从业人员人数为数据基础建立一个就业总量的预测时间序列模型,通过spss建立模型并用此模型来预测就业总量的未来发展趋势。 二、实验目的: 1.准确理解时间序列分析的方法原理 2.学会实用SPSS建立时间序列变量 3.学会使用SPSS绘制时间序列图以反应时间序列的直观特征。

4.掌握时间序列模型的平稳化方法。 5.掌握时间序列模型的定阶方法。 6.学会使用SPSS建立时间序列模型与短期预测。 7.培养运用时间序列分析方法解决身边实际问题的能力。 三、实验分析: 总体分析: 先对数据进行必要的预处理和观察,直到它变成稳态后再用SPSS对数据进行分析。 数据的预处理阶段,将它分为三个步骤:首先,对有缺失值的数据进行修补,其次将数据资料定义为相应的时间序列,最后对时间序列数据的平稳性进行计算观察。 数据分析和建模阶段:根据时间序列的特征和分析的要求,选择恰当的模型进行数据建模和分析。 四、实验步骤: SPSS的数据准备包括数据文件的建立、时间定义和数据期间的指定。 SPSS的时间定义功能用来将数据编辑窗口中的一个或多个变量指定为时间序列变量,并给它们赋予相应的时间标志,具体操作步骤是: 1.选择菜单:Date→Define Dates,出现窗口:

Gold序列与m序列仿真应用

1. 绪论 m 序列具有优良的双值自相关特性,但互相关特性不是很好。作为CDMA 通信地址码时,由于互相关特性不理想,使得系统内多址干扰影响增大,且可用地址码数量较少。在某些应用场合,利用狭义伪随机序列复合而成复合序列更为有利。这是因为通过适当方法构造的复合序列具有某些特殊性质。Gold 序列就是一种复合序列,而且具有良好的自相关与互相关特性,地址码数量远大于m 序列,且易于实现、结构简单,在工程上得到广泛应用。 表1是m 序列和Gold 序列的主要性能比较,表中max ?为m 序列的自相关峰值,(0)s ?为自相关主峰;()t n 为Gold 序列的互相关峰值,(0)g ?为其自相关主峰。从表1中可以看出:当级数n 一定时,Gold 序列中可用序列个数明显多于m 序列数,且Gold 序列的互相关峰值和主瓣与旁瓣之比都比m 序列小得多,这一特性在实现码分多址时非常有用。 表1. m 序列和Gold 序列性能比较 在引入Gold 序列概念之前先介绍一下m 序列优选对。m 序列优选对,是指在m 序列集中,其互相关函数绝对值的最大值(称为峰值互相关函数)max ()R τ最接近或达到互相关值下限(最小值)的一对m 序列。 设{a i }是对应于r 次本原多项式F 1(x )所产生的m 序列, {b i } 是另一r 次本原多项式F 2(x )产生的m 序列,峰值互相关函数满足 12 max 2 221()214r ab r r R τr ++?+?≤??+? 为奇数 为偶数但不是的整倍数 (1) 则m 序列{a i }与{b i }构成m 序列优选对。 例如:6r =的本原多项式61()1F x x x =++与6522()1F x x x x x =++++所产生的m 序列{}i a 与{}i b ,其峰值互相关函数2622 2 max ()172 12117r ab R τ++=≤+=+=。满足式(1) ,故{}i a 与{}i b 构成m 序列优选对。而本原多项式65323()1F x x x x x =++++所产生的m 序列 {}i c ,与m 序列{}i a 的峰值互相关函数max ()2317ac R τ=>,不满足上式,故{}i a 与{}i c 不 是m 序列优选对。 2. Gold 序列 1967年,R·Gold 指出:“给定移位寄存器级数r 时,总可找到一对互相关函数值是最小的码序列,采用移位相加方法构成新码组,其互相关旁瓣都很小,且自相关函数和互相关函数均有界”。这样生成的序列称为Gold 码(Gold 序列)。 Gold 序列是m 序列的复合序列,由两个码长相等、码时钟速率相同的m 序列优选对的模2

m序列及相关理论分析

m 序列及相关理论分析 摘要:本文阐述了常用伪随机序列m 序列的产生方法,对其自相关性和互相关性等主要性质进行简要分析。 关键字:m 序列;伪随机序列;相关性; m code sequence and relevant theory analyses Abstict : This paper expounds the generation method of commonly used pseudo-random sequence: m sequence and carries the brief analys on auto correlation mutual correlation. Keywords :m sequence; pseudo-random sequence; correlation 1 引言 在通信系统中,随机噪声会使数字信号出现误码和使模拟信号产生失真和,而且随机噪声也是限制信道容量的一个重要因素。因此人们经常希望消除或减少通信系统中的随机噪声。另一方面,在实际需要时人们产生随机噪声并利用随机噪声。例如,在实验室中可能要故意加入一定的随机噪声对通信设备或系统的各个性能指标进行测试。又如通过利用掺入随机噪声来提高通信的可靠性。为了满足上述实际应用要求,则需要产生满足对应要求的随机噪声信号。实际中,难以重复产生和处理随机噪声是利用随机噪声的最大困难。 2 m 序列的产生 m 序列又称伪随机序列、伪噪声码(PN)或伪随机码。其中:确定序列是可以预先确定并且可以重复实现的序列;随机序列是既不能预先确定又不能重复实现的序列;伪随机序列是不能预先确定但可以重复产生的序列。 m 序列(全称:最长线性反馈移位寄存器序列)是最为常用的一种伪随机序列。m 序列是由带线性反馈的移位寄存器产生的序列,并且具有最长的周期。 由n 级串接的移位寄存器和对应级别的反馈逻辑电路可组成动态移位寄存器,如果反馈逻辑线路只用线性模2和构成,那么就称此寄存器为线性反馈移位寄存器;但是反馈逻辑线路中出现如“与”、“或”等运算,那么称此寄存器为非线性反馈移位寄存器。线性反馈逻辑的移位寄存器设定初始状态后,在时钟促使下,每次移位后各级的寄存器状态就会发生移位改变状态。整个系统中的每一级寄存器都会随着时钟节拍的推移输出一个序列,该序列成为移位寄存器序列,以下图1所示的5级移位寄存器为例,图中线性反馈逻辑服从一下递归关系: 52--⊕=n n n a a a (1) 图1 一种5级移位寄存器 由图中可知:将第二级移位寄存器的输出和第五级移位寄存器的输出经过模2和运算后反馈到第一级的输入中。假设这5级移位寄存器的初始值为00001,第1、2、3、4级移位寄存器存储值为0,第五级存储值为1。在移位时钟节拍的作用下,各级移位寄存器的输出状态转移流程图如下表1所示。经过31个时钟后,第31节拍移位寄存器的状态与第0拍的状态(初始状态)相同,因而再经过一个时钟之后,从第32拍开始,移位寄存器必定重复第1至第31拍的过程。这说明该移位寄存器的状态具有周期性,其周期长度为31。如果从第5级输出,选择1000为起点,便可得到如下序列: 表1 m 序列发生器状态转移流程图

m序列产生要点

设计内容及要求 基于MATLAB产生m序列 要求: 1.通过matlab编程产生m序列的产生原理及其产生方法。 2.对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。 第二章m序列设计方案的选择 2.1 方案一 MATLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。 2.2 方案二 图2.1 Simulink实现m序列 Simulink是MATLAB最重要的组件之一,它提供了一个动态系统建模,仿真和综合分析的集成环境。在此环境中无需大量书写程序,而只需通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应性广,结构及流程清晰及仿真精细等优点,基于以上优点,Simulink已被广泛的运用到控制理论和数字信号处理的复杂仿真和设计。

通过比较方案一和方案二,发现方案一的有点具有通用性而方案二利用MATLAB的Simulink直接搭建模块,在移位寄存器较少的情况下利用此方法比较简单,可是当移位寄存器的个数增多时,要搭建那么多的模块就显的很繁琐了,缺乏通用性,因此本次实验选择方案一。 第三章m序列的产生及性质 3.1 m序列的产生原理、结构及产生 m序列是最长线性反馈移位寄存器序列的简称,m序列是由带线性反馈的移位寄存器产生的。 由n级串联的移位寄存器和反馈逻辑线路可组成动态移位寄存器,如果反馈逻辑线路只由模2和构成,则称为线性反馈移位寄存器。 带线性反馈逻辑的移位寄存器设定初始状态后,在时钟触发下,每次移位后各级寄存器会发生变化,其中任何一级寄存器的输出,随着时钟节拍的推移都会产生一个序列,该序列称为移位寄存器序列。 n级线性移位寄存器的如图3.1所示: ◇A 图3.1 n级线性移位寄存器 图中C i表示反馈线的两种可能连接方式,C i=1表示连线接通,第n-i 级输出加入反馈中;C i=0表示连线断开,第n-i级输出未参加反馈。 因此,一般形式的线性反馈逻辑表达式为 ------表达式3.1将等式左边的a n移至右边,并将a n=C0a n(C0=1)带入上式,则上式可以 写成

应用时间序列实验报告

河南工程学院课程设计 《时间序列分析课程设计》学生姓名学号: 学院:理学院 专业班级: 专业课程:时间序列分析课程设计指导教师: 2017年 6 月 2 日

目录 1. 实验一澳大利亚常住人口变动分析..... 错误!未定义书签。 实验目的............................................... 错误!未定义书签。 实验原理............................................... 错误!未定义书签。 实验内容............................................... 错误!未定义书签。 实验过程............................................... 错误!未定义书签。 2. 实验二我国铁路货运量分析........... 错误!未定义书签。 实验目的............................................... 错误!未定义书签。 实验原理............................................... 错误!未定义书签。 实验内容............................................... 错误!未定义书签。 实验过程............................................... 错误!未定义书签。 3. 实验三美国月度事故死亡数据分析...... 错误!未定义书签。 实验目的............................................... 错误!未定义书签。 实验原理............................................... 错误!未定义书签。 实验内容............................................... 错误!未定义书签。 实验过程............................................... 错误!未定义书签。课程设计体会 ............................ 错误!未定义书签。

m序列产生及其特性

一、实验目的 通过本实验掌握m 序列的特性、产生方法及应用。 二、实验内容 1、观察m 序列,识别其特征。 2、观察m 序列的自相关特性。 三、基本原理 m 序列是有n 级线性移位寄存器产生的周期为21n -的码序列,是最长线性移位寄存器序列的简称。码分多址系统主要采用两种长度的m 序列:一种是周期为1521-的m 序列,又称短PN 序列;另一种是周期为 4221-的m 序列,又称为长PN 码序列。m 序列主要有两个功能:①扩展调制信号的带宽到更大的传输带宽, 即所谓的扩展频谱;②区分通过多址接入方式使用同一传输频带的不同用户的信号。 3、m 序列的互相关函数 两个码序列的互相关函数是两个不同码序列一致程度(相似性)的度量,它也是位移量的函数。当使 用码序列来区分地址时,必须选择码序列互相关函数值很小的码,以避免用户之间互相干扰。 研究表明,两个长度周期相同,由不同反馈系数产生的m 序列,其互相关函数(或互相关系数)与自 相关函数相比,没有尖锐的二值特性,是多值的。作为地址码而言,希望选择的互相关函数越小越好,这 样便于区分不同用户,或者说,抗干扰能力强。 在二进制情况下,假设码序列周期为P 的两个m 序列,其互相关函数R xy (τ)为 ()xy R A D τ=- (9-9) 式中,A 为两序列对应位相同的个数,即两序列模2加后“0”的个数;D 为两序列对应位不同的个数, 即两序列模2加后“1”的个数。 为了理解上述指出的互相关函数问题,在此以5n =时由不同的反馈系数产生的两个m 序列为例计算它 们的互相关系数,以进一步讲述m 序列的互相关特性。将反馈系数为8(45)和8(75)时产生的两个5级m 序 列分别记做:1m :1000010010110011111000110111010和2m :111110111000101011010000110100,序列1m 和 2m 的互相关函数如表9-3所示。 表9-3序列1m 和2m 的互相关函数表

M序列的matlab产生方法

M序列是工程中常用的输入信号,它的性质类似于白噪声,而白噪声是理论上最好的输入信号,可见M序列的价值。下面介绍M序列的matlab产生方法。 idinput函数 产生系统辨识常用的典型信号。 格式 u = idinput(N,type,band,levels) [u,freqs] = idinput(N,'sine',band,levels,sinedata) N 产生的序列的长度,如果N=[N nu],则nu为输入的通道数,如果N=[P nu M],则nu 指定通道数,P为周期,M*P为信号长度。默认情况下,nu=1,M=1,即一个通道,一个周期。 Type 指定产生信号的类型,可选类型如下 Band 指定信号的频率成分。对于’rgs’、’rbs’、’sine’,band = [wlow, whigh]指定通带的范围,如果是白噪声信号,则band=[0, 1],这也是默认值。指定非默认值时,相当于有色噪声。对于’prbs’,band=[0, B],B表示信号在一个间隔1/B(时钟周期)内为恒值,默认为[0, 1]。Levels 指定输入的水平。Levels=[minu, maxu],在type=’rbs’、’prbs’、’sine’时,表示信号u 的值总是在minu和maxu之间。对于type=’rgs’,minu指定信号的均值减标准差,maxu 指定信号的均值加标准差,对于0均值、标准差为1的高斯白噪声信号,则levels=[-1, 1],这也是默认值。 说明 对于PRBS信号,如果M>1,则序列的长度和PRBS周期会做调整,使PRBS的周期为对应一定阶数的最大值(即2^n-1,n为阶数);如果M=1,PRBS的周期是大于N的相应阶数的值。在多输入的情形时,信号被最大平移,即P/nu为此信号能被估计的模型阶次的上界。 上面的意思可如下理解:对于M=1时, ms = idinput(12, 'prbs', [0 1], [0 1]); figure stairs(ms) title('M序列') ylim([-0.5 1.5])

时间序列实验报告

第三章平稳时间序列分析 选择合适的模型拟合1950-2008年我国邮路及农村投递线路每年新增里程数序列,见表1: 表1 1950-2008年我国邮路及农村投递线路每年新增里程数序列 一、时间序列预处理 (一)时间序列平稳性检验 1.时序图检验 (1)工作文件的创建。打开EViews6.0软件,在主菜单中选择File/New/Workfile, 在弹出的对话框中,在Workfile structure type中选择Dated-regular frequency(时间序列数据),在Date specification下的Frequency中选择Annual(年度数),在Start date中输入“1950”(表示起始年

份为1950年),在End date中输入“2008”(表示样本数据的结束年份为2008年),然后单击“OK”,完成工作文件的创建。 (2)样本数据的录入。选择菜单中的Quick/Empty group(Edit Series)命令,在弹出的Group对话框中,直接将数据录入,并分别命名为year(表示年份),X(表示新增里程数)。 (3)时序图。选择菜单中的Quick/graph…,在弹出的Series List中输入“year x”,然后单击“确定”,在Graph Options中的Specifi中选择“XYLine”,然后按“确定”,出现时序图,如图1所示: 图1 我国邮路及农村投递线路每年新增里程数序列时序图从图1中可以看出,该序列始终在一个常数值附近随机波动,而且波动的围有界,因而可以初步认定序列是平稳的。为了进一步确认序列的平稳性,还需要分析其自相关图。 2.自相关图检验 选择菜单中的Quick/Series Statistics/Correlogram...,在Series Name 中输入x(表示作x序列的自相关图),点击OK,在Correlogram Specification 中的Correlogram of 中选择Level,在Lags to include中输入24,点击OK,得到图2:

时间序列分析实验报告

时间序列分析SAS软件实验报告: 以我国2002第一季度到2012年第一季度国内生产总值数据(季节效应模型)分析 班级:统计系统计0姓名: 学号: 指导老师: 20 年月日

时间序列分析报告 一、前言 【摘要】2012年3月5日温家宝代表国务院向大会作政府工作报告。温家宝在报告中提出,2012年国内生产总值增长7.5%。这是我国国内生产总值(GDP)预期增长目标八年来首次低于8%。 温家宝说,今年经济社会发展的主要预期目标是:国内生产总值增长7.5%;城镇新增就业900万人以上,城镇登记失业率控制在4.6%以内;居民消费价格涨幅控制在4%左右;进出口总额增长10%左右,国际收支状况继续改善。同时,要在产业结构调整、自主创新、节能减排等方面取得新进展,城乡居民收入实际增长和经济增长保持同步。 他指出,这里要着重说明,国内生产总值增长目标略微调低,主要是要与“十二五”规划目标逐步衔接,引导各方面把工作着力点放到加快转变经济发展方式、切实提高经济发展质量和效益上来,以利于实现更长时期、更高水平、更好质量发展。提出居民消费价格涨幅控制在4%左右,综合考虑了输入性通胀因素、要素成本上升影响以及居民承受能力,也为价格改革预留一定空间。 对于这一预期目标的调整,温家宝解释说,主要是要与“十二五”规划目标逐步衔接,引导各方面把工作着力点放到加快转变经济发展方式、切实提高经济发展质量和效益上来,以利于实现更长时期、更高水平、更好质量发展。 央行货币政策委员会委员李稻葵表示,未来若干年中国经济增长速度会有所放缓,这个放缓是必要的,是经济发展方式转变的一个必然要求。 【关键词】“十二五”规划目标国内生产总值增长率增速放缓提高发展质量附表:国内生产总值(2012年1季度) 绝对额(亿元)比去年同期增长(%) 国内生产总值107995.0 8.1 第一产业6922.0 3.8 第二产业51450.5 9.1 第三产业49622.5 7.5 注1:绝对额按现价计算,增长速度按不变价计算。注2:该表为初步核算数据。 GDP环比增长速度 环比增长速度(%) 2011年1季度 2.2 2季度 2.3 3季度 2.4 4季度 1.9 2012年1季度 1.8 注:环比增长速度为经季节调整与上一季度对比的增长速度。 此表是我国2012年第一季度国内生产总值及与2011年同期比较来源:前瞻网

相关文档
最新文档