基本的D触发器时序仿真

基本的D触发器时序仿真
基本的D触发器时序仿真

基本的D触发器时序仿真:

同步复位的D触发器功能仿真:

异步复位D触发器功能仿真图:

同步置位/复位D触发器RTL图:

触发器功能的模拟实现实验报告-

武汉轻工大学数学与计算机学院 数字逻辑实验报告 学校:武汉轻工大学 院系:数学与计算机学院 班级:计算机类1304班 姓名:田恒 学号: 1305110089 指导老师:刘昌华 2014年12月10日

目录 1、实验名称 2、实验目的 3、实验原理 4、实验环境 5、实验内容 一、DFF仿真分析 二、“维持阻塞”型DFF仿真分析 三、思考练习 四、故障排除 五、实验总结

【实验名称】触发器功能的模拟实现 【实验目的】学习时序电路的设计,了解基本触发器的功能,利用QuartusII软件的原理图输入,设计一个钟控R-S触发器形成的D触发器和边沿触发型D触发器,并验证其功能。 【实验原理】(1)钟控R-S触发器,在时钟信号作用期间,当输入R、S同时为1时,触发器会出现状态不稳定现象。为了解决这个问题,对钟控R-S触发器的控制电路进行修改,用G4门的输出信号替换G3门的S输入信号,将剩下的输入R记作D,就形成只有一个输入端的D触发器。 (2)在上述D触发器的基础上增加“维持”、“阻塞”结构,从而形成“维持阻塞”型D触发器。

【实验环境】PC机(Windows xp,QuartusII) 【实验内容】QuartusII开发数字电路的设计流程完成DFF和“维持阻塞”型D触发器的原理设计输入,编译仿真和波形仿真。 一、DFF仿真分析: step1、启动QuartusII Step2、建立工作库目录文件夹以便设计工程项目的存储 Step3、输入设计:根据上部原理图完成原理图文件,截图如下: Step4、单击存盘命令新建工程 Step5、编译综合 Step6、仿真测试 Step7、仿真结果

触发器和时序逻辑电路习题答案

第21章 触发器和时序逻辑电路 191、触发器按其工作状态是否稳定可分为( b )。 (a)RS 触发器,JK 触发器,D 触发器,T 触发器; (b)双稳态触发器,单稳态触发器,无稳态触发器; (c)主从型触发器,维持阻塞型触发器。 192、逻辑电路如图所示,当A=“1”时,基本RS 触发器( c )。 (a)置“1”; (b)置“0”; (c)保持原状态。 ≥1A ""1R D Q Q S D 193、 逻辑电路如图所示,分析C ,S ,R 的波形,当初始状态为“0”时,输出Q 是“0”的瞬间为( c )。 (a)1t ; (b)2t ; (c)3t 。 C S R t 1t 2t 3S C R D R S D Q Q 194、 某主从型JK 触发器,当J=K=“1”时,C 端的频率f=200Hz ,则Q 的频率为( c )。 (a)200Hz ; (b)400Hz ; (c)100Hz 。 195、逻辑电路如图所示,当A=“1”时,C 脉冲来到后JK 触发器( a )。 (a)具有计数功能; (b)置“0”; (c)置“1”。 ≥1 A J C R D K S D Q Q "" 1""1 196、 逻辑电路如图所示,A=“0”时,C 脉冲来到后D 触发器( b )。 (a)具有计数器功能; (b)置“0”; (c)置“1”。

D C Q Q & A 197、逻辑电路如图所示,分析C 的波形,当初始状态为“0”时,输出Q 是“0”的瞬间为( a )。 (a) 1t ; (b)2t ; (c)3t 。 D C Q Q C t 1t 2t 3 198、逻辑电路如图所示,它具有( a )。 (a)D 触发器功能; (b)T 触发器功能; (c)T'触发器功能。 J C R D K S D Q Q 1 199、逻辑电路如图所示,它具有( b )。 (a)D 触发器功能; (b)T 触发器功能; (c)T'触发器功能。 J C R D K S D Q Q 200、时序逻辑电路与组合逻辑电路的主要区别是( c )。 (a)时序电路只能计数,而组合电路只能寄存; (b)时序电路没有记忆功能,组合电路则有; (c)时序电路具有记忆功能,组合电路则没有。 201、寄存器与计数器的主要区别是( b )。 (a)寄存器具有记忆功能,而计数器没有; (b)寄存器只能存数,不能计数,计数器不仅能连续计数,也能存数; (c)寄存器只能存数,计数器只能计数,不能存数。 202、移位寄存器与数码寄存器的区别是( a )。 (a)前者具有移位功能,后者则没有; (b)前者不具有移位功能,后者则有; (c)两者都具有移位功能和计数功能。

触发器的电路结构与动作特点

的电路结构与动作特点 由两个与非门互耦而成的RS锁存器【图4.2.2(a)】是各种触发器的基本单元电路,它有两个低电平有效的数据输入端(S--:置位输入;R--:复位输入)和一对互补的数据输出端(Q和Q--)。Q=1,Q--=0时,锁存器处于置位状态;Q=0,Q--=1时,锁存器处于复位状态。S--和 R--有四种组合,如果S--无效,R--无效,锁存器的状态将与初态相同;如果S--有效,R--无效,锁存器的状态将为Q=1,Q--=0;如果S--无效,R--有效,锁存器的状态将为Q=0,Q--=1;如果S--有效,R--有效,锁存器的状态将是不确定的。如何理解最后一种输入组合呢? 图4.2.2 用与非门组成的基本RS触发器 (a)电路结构 RS锁存器可以(并且只可以)存储一个二进制位,要么存储1,要么存储0。如果我们想存储1,就在 S--端加上一个负脉冲。所谓的负脉冲,就是一个由高电平跳变到低电平,然后再由低电平跳变到高电平的信号。当 S--由高电平跳变到低电平时,S--=0,R--=1,Q=1,Q--=0,锁存器的状态为1;当 S--由低电平跳变到高电平时,S--=1,R--=1,锁存器的状态保持不变,仍为1。换句话说,负脉冲到来时,锁存器的状态为1;负脉冲消失后,锁存器维持这个一状态。同理,如果我们想存储0,我们就在 R--端加上一个负脉冲。那么,同时在 S--端和 R--端加上负脉冲是什么意思呢?难道既要存储1,又要存储0?显然,这种要求在逻辑上是矛盾的,也是无法实现的。我们不可能提出这种无理要求。那么,这种输入组合又是怎么出现的呢?哇!一定是干扰(或噪声)

在作怪!干扰的存在,可能会使锁存器误动作。假如我们要存储“1”,我们就在S--端加上一个负脉冲P1当P1到来时,S--=0,R--=1,Q=1,Q--=0。如果P1结束前,在 R--端出现一个干扰脉冲P2,那 么我们有S--=0,R--=0,Q=1, Q--=1,问题就发生了。问题发生后,我们可就三种简单的情况进行分析。若P2比P1先消失,我们有 S--=0,R--=1,Q=1, Q--=0。在这种情况下,锁存器的状态为“1”;若P1比P2先消失,我们将有S--=1,R--=0,Q=1, Q--=0,在这种情况下,锁存器的状态为“0”;还有一种情况是P2与P1同时消失,我们将有S--=1,R--=1, 因为此前Q=1, Q--=1,所以每个与非的输入都是全“1”,由于这两个与非门的传输延迟时间不同,因此工作速度稍快一些的与非门输出率先为“0”,这将使另一个与非门的输出保持为“1”。由于干扰脉冲的出现和消失是随机的,我们无法预知P2与P1哪个先消失。由于器件参数的离散性,我们也无法预知那个与非门的传输时间较短。所以,锁存器的状态将是不定的。 RS锁存器的用途之一是构成“防抖动电路”。我们知道,数据通常经过机械开关输入数字系统。机械开关动作时,触点将会抖动。抖动是指开关的两个触点要经历一个常达数毫秒的接通、断开,再接通、再断开,循环往复,直至最后接通的过程。数毫秒的振荡在数字系统中是不可接受的。假如开关接通表示“1”,断开表示“0”,我们将开关接通是期望输入一个“1”,结果却输入拉一连串的“1”和“0”。

触发器、时序逻辑电路

第12 章习题 12-1填空题 1. 数字电路分为组合逻辑和时序逻辑两大类。 2. 时序逻辑电路的输出取决于输入状态和输入前的输出状态,因此电路具有记忆功能。触发器是构成时序逻辑电路的基本单元,其本身也由门电路构成,但其中包含有反馈环节,因此它是时序逻辑电路的基本单元。 3. 集成触发器的置1端可以根据需要预先将触发器置1,置0 端可以根据需要预先将触发器置0,而不受时序脉冲的同步控制。 4. 计数器统计的是CP脉冲的个数,它有3种分类方法,按计数进位不同,分为二进制、十进制和任意进制计数器;按计数规律不同,分为加法、减法和可逆计数器;按计数器中触发器翻转是否同步分为同步计数器和异步计数器,其中同步计数器的计数速度较快。 5. 寄存器是一种能够接收、暂存、传递数码或指令等信息的逻辑部件,它一般由触发器构成,且每个触发器只能存储1 位二进制信息。 6. 半导体存储器有两种,一种称为随机存取存储器,简称RAM;另一种称为只读存储器,简称ROM。 7. 存储器的存储容量是指存储器能够存储0 和1 的个数,一般用 字数×位数来表示。字数指字线的数目,位数指数据线的总的数目。

8. 移位寄存器按移位方向的不同分为左移寄存器、右移寄存器和 双向移位寄存器。 9. 在所有触发器中,JK 触发器的逻辑功能是最完善的,它没有同步触发器的空翻现象,也没有同步触发器状态不定的现象,而且比D触发器和T触发器的功能齐全。 10. JK触发器的逻辑功能是J=0,K=0时,Q=0 ;J=0,K=1时,Q=0 ;J=1,K=0时,Q=1 ;J=1,K=1时,翻转。输入信号过后保持输入信号到来时的功能称为记忆功能,翻转功能称为计数功能。 11. D触发器的逻辑功能可概括为输出端Q的状态永远与输入端D的状态相同,但在画波形图时应为D触发器的Q态与输入端的D态相同。 12. RS触发器的逻辑功能可概括为:R端和S端同时无效时,触发器 保持原状态;R端和S端同时有效时,触发器处于不定状态;R端有效,S端无效时,触发器处于1状态;R端无效,S端有效时,触发器处于0 状态。 13. 某压力报警系统的逻辑电路如图12-42所示。已知压力传感器压力安全时输出为0,压力不安全时输出为1。按钮开关S是供维修人员使用的。通过阅读逻辑电路图可知:

D触发器原理D触发器电路图

边沿D 触发器: 负跳沿触发的主从触发器工作时,在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。 电路结构: 该触发器由6个与非门组成,其中G1与G2构成基本RS触发器。 D触发器工作原理: SD 与RD 接至基本RS 触发器的输入端,分别就是预置与清零端,低电平有效。当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD与RD通常又称为直接置1与置0端。我们设它们均已加入了高电平,不影响电路的工作。工作过程如下: 1、CP=0时,与非门G3与G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5与Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。 2、当CP由0变1时触发器翻转。这时G3与G4打开,它们的输入Q3与Q4的状态由G5与G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基本RS触发器的逻辑功能可知,Q=D。 3、触发器翻转后,在CP=1时输入信号被封锁。这就是因为G3与G4打开后,它们的输出Q3与Q4的状态就是互补的,即必定有一个就是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态与阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3与G6封锁,D端通往基本RS触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器常称为维持-阻塞触发器。总之,该触发器就是在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁,三步都就是在正跳沿后完成,所以有边沿触发器之称。与主从触发器相比,同工艺的边沿触发器有更强的抗干扰能力与更高的工作速度。功能描述

实验五触发器及其应用(仿真)一、实验目的

实验五 触发器及其应用(仿真) 一、实验目的 1.掌握JK 触发器和D 触发器的逻辑功能。 2.掌握触发器相互转换的方法。 3.掌握集成JK 触发器和集成D 触发器的使用方法。 二、实验相关知识 1.JK 触发器 数字集成触发器74112内部有两个独立的下降沿触发的JK 触发器,其逻辑符号和仿真元件引线排列 如图5-1所示。 CLR 是异步置0端D R , PRE 是异步置1端D S 。特性方程是: 2.D 触发器 数字集成触发器7474内部有两个独立的上升沿触发的D 触发器,其逻辑符号和仿真元件引线排列如图5-2所示。其特点是次态(Q n+1)输出仅取决于CP 上升沿到达时D 端输入信号的状态,而与在此以前或以后D 的状态无关。其特性方程是: Q n+1 = D 三、实验预习要求与思考 1.阅读实验相关知识。 2.按要求设计“实验内容”中的电路,画出逻辑图。 n n n Q K Q J Q 1 (b ) 仿真元件引线排列 (a ) 逻辑符号 图5-1 74112的逻辑符号和仿真元件引线排列 1J C1 1K Q > J CP K R D S D R S Q (a ) 逻辑符号 (b ) 仿真元件引线排列 图5-2 7474的逻辑符号和仿真元件引线排列

四、实验内容 1.设计电路验证JK触发器74112的逻辑功能。建议示波器A通道接时钟脉冲、B通道接输出端Q,Q 和Q端接指示灯。 表5-1 JK触发器逻辑功能验证表 (1)由表5-1可以得出PRE’和CLR’的优先级哪个高? (2)由表5-1可以得出JK触发器的特性方程:。 2.设计电路验证D触发器7474的逻辑功能。建议示波器A通道接时钟脉冲、B通道接输出端Q,Q 和Q端接指示灯。 表5-2 D触发器逻辑功能验证表 (1)比较7474和74112的复位、置位端的异同。 (2)由表5-2可以得出D触发器的特性方程: 。 3.比较D触发器、JK触发器逻辑表达式,用适当的逻辑门实现D触发器与JK触发器的逻辑功能互相转换,并验证之。 4.任选一种触发器设计一个四人抢答器。要求当四人中任一人先按下开关后,有LED指示,其他人再按开关无效;复位后又可正常工作。画出原理图,仿真验证。 提示:利用复位端清零,抢答者的起始端接地,抢答成功者先按下开关时,D由0变1,Q变为高电平,同时用Q的反,通过与非门封锁其他人的抢答开关。

触发器是构成时序逻辑电路的基本单元

触发器是构成时序逻辑电路的基本单元,触发器按逻辑功能分为RS触发器、JK触发器、D触发器、T触发器和T′触发器等多种类型;按其电路结构分为主从型触发器和维持阻塞型触发器等。 1.JK触发器 (1)JK触发器符号及功能 JK触发器有两个稳定状态:一个状态是Q=1,Q=0,称触发器处于“1”态,也叫置位状态;另一个状态是Q=0,Q=1,称触发器处于“0”态,也叫复位状态。JK触发器具有“置0”、“置1”、保持和翻转功能,符号如图l所示。 反映JK触发器的Q n和Q n、J、K之间的逻辑关系的状态表见表1。状态表中,Qn表示时钟脉冲来到之前触发器的输出状态,称为现态,Q n+1表示时钟脉冲来到之后的状态,称为次态。

图l JK触发器符号表1 JK触发器的状态表 JK触发器的特性方程为 JK触发器的种类很多,有双JK触发器74LS107,双JK触发器74LS114,741S112,74HC73,74HCT73等,有下降沿触发的,也有上升沿触发的。图l所示的JK触发器是下降沿触发的。

(2)双JK触发器74LS76 74LS76是有预置和清零功能的双JK触发器,引脚如图2所示,有16个引脚。功能表见表2,74LS76是下降沿触发的。 图2 74LS76引脚图表 2 74LS76的功能表 ①当R D=0,S D=1时

不论CP,J,K如何变化,触发器的输出为零,即触发器为“0”态。由于清零与CP脉冲无关,所以称为异步清零。 ②当R D=1,S D=0时 不论CP,J,K如何变化,触发器可实现异步置数,即触发器处于“1”态。 ③当R D=1,S D=1时 只有在CP脉冲下降沿到来时,根据J,Κ端的取值决定触发器的状态,如无CP脉冲下降沿到来,无论有无输人数据信号,触发器保持原状态不变。 2.D触发器 (1)D触发器符号及功能 D触发器具有置“0”和置“1”功能,其逻辑符号如图3所示,其逻辑功能为:在CP上升沿到来时,若D=I,则触发器置1;若D=0,则触发器置0,D触发器的特性方程为 D触发器的状态表见表3

基本RS触发器实验

第5章基本RS触发器 5.同步触发器(同步RS触发器) 目的与要求: 1 掌握时序电路的定义、分类、触发器的特点。 2 掌握基本RS触发器的电路结构、工作原理、逻辑功能。 3 掌握同步RS触发器的工作原理、逻辑功能。 4 掌握触发器逻辑功能的表示方法。 5 掌握时序电路的一些基本概念。 重点与难点:1 基本概念要正确建立。难点:现态、次态、不定状态的正确理解。 2 基本RS触发器的逻辑功能、触发方式。 5.1概述 一、触发器的概念 复习:组合电路的定义?构成其电路的门电路有何特点?组合电路与时序电路的区别? 门电路:在某一时刻的输出信号完全取决于该时刻的输入信号,没有记忆作用。 触发器:具有记忆功能的基本逻辑电路,能存储二进制信息(数字信息)。 触发器有三个基本特性: (1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维持稳态; (2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作二进制存储单元。 (3)有两个互补输出端,分别用Q和Q 二、触发器的逻辑功能描述: 特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图(又称时序图) 三、触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。 5.2 触发器的基本形式 5.2.1 基本RS触发器 一、由与非门组成的基本RS触发器 1.电路结构 电路组成:两个与非门输入和输出交叉耦合(反馈延时)。逻辑图如图(a)所示。 逻辑符号如图(b)所示。

习题 触发器和时序逻辑电路

第21章时序逻辑电路 S13101B 在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此,在电路结构上一般由 组合而成。 解: 组合逻辑电路,门电路 S13102B 在任何时刻,输出状态仅仅决定于同一时刻各输入状态的组合,而与电路以前所处的状态无关的逻辑电路称为,而若逻辑电路的输出状态不仅与输出变量的状态有关,而且还与系统原先的状态有关,则称其为。 解: 组合逻辑电路,时序逻辑电路。 S13102I 在同步计数器中,各触发器的CP输入端应接时钟脉冲。 解: 同一 S13201B 有四个触发器的二进制计数器,它的计数状态有( )。 A. 8 B. 16 C. 256 D. 64 解: B S13104B 个逻辑电路,如果某一给定时刻t的输出不仅决定于该时刻t的输入,而且还决定于该时刻前电路所处的状态,则这样的电路称为电路。 解: 时序 S13105B 一个逻辑电路,如果某一给定时刻t的稳态输出仅决定于该时刻的输入,而与t前的状态无关,则这样的电路称为电路。 解: 组合 S13106B 按触发器状态更新方式划分,时序电路可分为和两大类。 解: 同步、异步 S13108B 计数器中有效状态的数目,称为计数器的。 解: 模或长度

S13106N 如图所示电路是 步 进制计数据。 解: 异,十六 S13107N 如图所示电路是 步,长度为 的 法计数器。 解: 异,8,加 S13108N 在如图所示电路中,若将第二级、第三级触发器的CP 改接在21Q Q 、上,则该电路是 步,长度为 的 法计数器。 解: 异,8,减 S13110N 如图所示电路是 步,长度为 的 法计数器。 解: 异,4,加 S13111N 如图所示电路是 步,长度为 的 法计数器。 解: 异,8,减

触发器是构成时序逻辑电路的

触发器是构成时序逻辑电路的基本单元

触发器是构成时序逻辑电路的基本单元

触发器是构成时序逻辑电路的基本单元,触发器按逻辑功能分为RS触发器、JK触发器、D触发器、T 触发器和T′触发器等多种类型;按其电路结构分为主从型触发器和维持阻塞型触发器等。 1.JK触发器 (1)JK触发器符号及功能 JK触发器有两个稳定状态:一个状态是Q=1,Q=0,称触发器处于“1”态,也叫置位状态;另一个状态是Q=0,Q=1,称触发器处于“0”态,也叫复位状态。JK触发器具有“置0”、“置1”、保持和翻转功能,符号如图l所示。 反映JK触发器的Q n和Q n、J、K之间的逻辑关系的状态表见表1。状态表中,Qn表示时钟脉冲来到之前触发器的输出状态,称为现态,Q n+1表示时钟脉冲来到之后的状态,称为次态。

图l JK触发器符号表1 JK触发器的状态表 JK触发器的特性方程为 JK触发器的种类很多,有双JK触发器74LS107,双JK触发器74LS114,741S112,74HC73,74HCT73等,有下降沿触发的,也有上升沿触发的。图l所示的JK触发器是下降沿触发的。 (2)双JK触发器74LS76 74LS76是有预置和清零功能的双JK触发器,引脚如图2所示,有16个引脚。功能表见表2,74LS76是下降沿触发的。

图2 74LS76引脚图表 2 74LS76的功能表 ①当R D=0,S D=1时 不论CP,J,K如何变化,触发器的输出为零,即触发器为“0”态。由于清零与CP脉冲无关,所以称为异步清零。 ②当R D=1,S D=0时

不论CP,J,K如何变化,触发器可实现异步置数,即触发器处于“1”态。 ③当R D=1,S D=1时 只有在CP脉冲下降沿到来时,根据J,Κ端的取值决定触发器的状态,如无CP脉冲下降沿到来,无论有无输人数据信号,触发器保持原状态不变。 2.D触发器 (1)D触发器符号及功能 D触发器具有置“0”和置“1”功能,其逻辑符号如图3所示,其逻辑功能为:在CP上升沿到来时,若D=I,则触发器置1;若D=0,则触发器置0,D触发器的特性方程为 D触发器的状态表见表3 图3 D触发器的逻辑符图3 D触发器的逻辑符

实验二D触发器的设计和仿真

实验报告 哈尔滨工程大学教务处制 实验二 D触发器的设计和仿真 一、实验目的 1、学习模拟数字电路单元的基本设计方法。 2、学习Cadence工具下电路设计的基本操作和方法。 3、学习Sprectre工具的仿真操作方法。 二、实验内容

本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D触发器电路的设计和仿真;分析仿真结果。该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。 三、实验步骤 1、登陆到UNIX系统。 在登陆界面,输入用户名和密码,用户名和密码都为学生学号。 2、Cadence的启动。 启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。 3、原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic 视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance 或者直接点i,就可以选择所需的器件。 (3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。 (4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。 (5)添加线名。为设计中某些连线添加有意义的名称有助于在

波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。 (6)添加电源信号。选择Vdd和Gnd的symbol各一个,在两个symbol之间连接一个vdc,设置直流电压5V。 (6)保存并检查。点击schematic窗口上的Check and Save按钮,察看是否有警告或者错误。如果有,察看CIW窗口的提示。 4、电路仿真。 (1)启动模拟仿真环境。在comparator schematic窗口,选择Tools-Analog Environment,弹出模拟仿真环境界面。 (2)选择仿真器。在仿真窗口,选择Setup-Simulator/Directory/Host,设置仿真器为spectre,然后点击OK。 (3)设置模型库。 (4)设置分析类型。在仿真窗口,点击Choose Analyses按钮,弹出Choose Analyses窗口,该窗口中列出了各种仿真类型,在本实验中只需进行瞬态仿真,所以选择tran,时间设为3um。 (5)设置设计变量,在仿真窗口中,点击Edit Variables 按钮,弹出变量设置窗口,点击Copy From按钮,整个设计本扫描一遍,设计中的各个变量被列出来。 (6)创建网表。选择Simulation-Netlist-Create,产生了该设计的网表。 (7)设置波形显示工具。Cadence中有两种波形显示工具:AWD

实验七 触发器的仿真

实验七触发器的仿真 实验目的 1.用逻辑图和VHDL语言设计D锁存器,并进行仿真与分析; 2.参看Maxplus中器件7474(边沿D触发器)的逻辑功能,用VHDL语言设计边沿触发式D触发器,并进行仿真与分析。 3.参看Maxplus中器件7476(边沿JK触发器)的逻辑功能,用VHDL语言设计边沿触发式JK触发器,并进行仿真与分析。 1.D锁存器(D Latch) 实验设计思想 使能端EN输入为1时,输出Q与输入D值相同;使能端EN输入为0时,输出Q保持不变。 实验原理图 实验VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ex71 IS PORT( C,D:IN STD_LOGIC; Q,QN:BUFFER STD_LOGIC); END ex71; architecture bhv OF ex71 IS BEGIN PROCESS(C,D,Q) BEGIN IF (C='1') THEN Q<=D;ELSE Q<=Q;END IF; QN<=NOT Q; END PROCESS; END bhv; 实验波形仿真

Preset , Clear and Complementary Outputs) 实验原理图

实验VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity ex72 is port( D,CLK,PR_L,CLR_L:IN std_logic; Q,QN:out std_logic); end ex72; architecture vhb of ex72 is signal PR,CLR:STD_LOGIC; BEGIN process(CLR_L,CLR,PR_L,PR,CLK) begin PR<=not PR_L;CLR<=not CLR_L; if(CLR AND PR)='1'then Q<='1';QN<='1'; elsif CLR='1' then Q<='0';QN<='1'; elsif PR='1'then Q<='1';QN<='0'; elsif (CLK'event and CLK='1')then Q<=D;QN<=not D; end if; end process; end vhb; 实验波形仿真

电子技术习题解答触发器和时序逻辑电路及其实际应用习题解答

第8章 触发器和时序逻辑电路及其应用习题解答 8.1 已知基本RS 触发器的两输入端D S 和D R 的波形如图8-33所示,试画出当基本RS 触发器初始状态分别为0和1两种情况下,输出端Q的波形图。 图8-33 习题8.1图 解:根据基本RS 触发器的真值表可得:初始状态为0和1两种情况下,Q的输出波形分别如下图所示: 习题8.1输出端Q的波形图 8.2 已知同步RS 触发器的初态为0,当S 、R 和CP 的波形如图8-34所示时,试画出输出端Q的波形图。 图8-34 题8.2图 解:根据同步RS 触发器的真值表可得:初始状态为0时,Q的输出波形分别如下图所示:

习题8.2输出端Q的波形图 8.3 已知主从JK触发器的输入端CP、J和K的波形如图8-35所示,试画出触发器初始状态分别为0时,输出端Q的波形图。 图8-35 习题8.3图 解:根据主从JK触发器的真值表可得:初始状态为0情况下,Q的输出波形分别如下图所示: 习题8.3输出端Q的波形图 8.4 已知各触发器和它的输入脉冲CP的波形如图8-36所示,当各触发器初始状态均为1时,试画出各触发器输出Q端和Q端的波形。

图8-36 习题8.4图 解:根据逻辑图及触发器的真值表或特性方程,且将驱动方程代入特性方程可得状态方程。即:(a )J =K =1;Qn + 1=n Q,上升沿触发(b)J =K =1;Qn + 1=n Q, 下降沿触发 (c)K =0,J =1;Qn + 1=J n Q+K Qn =1,上升沿触发 (d)K =1,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0·Qn =n Q,上升沿触发 (e)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,上升沿触发 (f)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,下降沿触发, 再根据边沿触发器的触发翻转时刻,可得当初始状态为1时,各个电路输出端Q的波形分别如图(a )、(b )、(c )、(d )、(e )和(f )所示,其中具有计数功能的是:(a )、(b )、(d )、(e )和(f )。各个电路输出端Q的波形与相应的输出端Q的波形相反。 习题8.4各个电路输出端Q的波形图

触发器和时序逻辑电路习题

第14章 触发器和时序逻辑电路 一、选择题: 1、相同计数器的异步计数器和同步计数器相比,一般情况下( ) A. 驱动方程简单 B. 使用触发器个数少 C. 工作速度快 D. 以上都不对 2、n 级触发器构成的环形计数器,其有效循环的状态数是( ) A. n 个 B. 2个 C. 4个 D. 6个 3、下图所示波形是一个( )进制加法计数器的波形图。试问它有( )个无效状态。 A .2; B. 4 ; C. 6; D. 12 4、设计计数器时应选用( )。 A .边沿触发器 B . 基本触发器 C .同步触发器 D .施密特触发器 5、一块7490十进制计数器中,它含有的触发器个数是( ) A. 4 B. 2 C. 1 D. 6 6、n 级触发器构成的扭环形计数器,其有效循环的状态数是( ) A. 2n 个 B. n 个 C. 4个 D. 6个 7、时序逻辑电路中一定包含( ) A.触发器 B.组合逻辑电路 C.移位寄存器 D.译码器 8、用n 个触发器构成计数器,可得到的最大计数长度为( ) A. 2n B.2n C.2 n D. n 9、有一个移位寄存器,高位在左,低位在右,欲将存放在其中的二进制数乘上(4)10,则应将该寄存器中的数( ) A.右移二位 B.左移一位 C. 右移二位 D.左移一位 10、某时序逻辑电路的状态转换图如下,若输入序列X=1001时,设起始状态为S1,则输出序列Z=( ) X/Z 0/0 1/1 A. 0101 B.1011 C.0111 D.1000 11、、一位8421BCD 码计数器至少需要( )个触发器 A. 4 B. 3 C.5 D.10 P Q1 Q2 Q3

施密特、单稳态触发器仿真实验

上海大学 本科生课程作业 题目:数字电子技术课程实践项目二 课程名称:数字电子技术 学院:机电工程与自动化学院 姓名:张炜 学号:12122030

题目要求:用555定时器构成的单稳态触发器、多谐振荡器、施密特触发器进行设计和仿真 1.单稳态触发器: 1.1 工作原理: 单稳态电路的组成和波形下图所示。当电源接通后,Vcc 通过电阻R 向电容C 充电,待电容上电压Vc 上升到2/3Vcc 时,RS 触发器置0,即输出Vo 为低电平,同时电容C 通过三极管T 放电。当触发端2的外接输入信号电压Vi <1/3Vcc 时,RS 触发器置1,即输出Vo 为高电平,同时,三极管T 截止。电源Vcc 再次通过R 向C 充电。输出电压维持高电平的时间取决于RC 的充电时间,当t=t W 时,电容上 的充电电压为;CC RC t CC C V e V v w 321=??? ? ??-=-,所以输出电压的脉宽 t W =RCln3≈1.1RC 。一般R 取1k Ω~10M Ω,C >1000pF 。 值得注意的是:t 的重复周期必须大于t W ,才能保证放一个正倒置脉冲起作用。由上式可知,单稳态电路的暂态时间与VCC 无关。因此用555定时器组成的单稳电路可以作为精密定时器。 单稳态电路的电路图和波形图

1.2 555单稳态触发器的设计: 1.2.1 电路设计基本原理: 单稳态触发器具有稳态和暂稳态两个不同的工作状态。在外界触发脉冲作用下,它能从稳态翻转到暂稳态,在暂稳态维持一段时间以后,在自动返回稳态;暂稳态维持时间的长短取决于电路本身的参数,与触发脉冲的宽度和幅度无关。由于单稳态触发器具有这些特点,常用来产生具有固定宽度的脉冲信号。 按电路结构的不同,单稳态触发器可分为微分型和积分型两种,微分型单稳态触发器适用于窄脉冲触发,积分型适用于宽脉冲触发。无论是哪种电路结构,其单稳态的产生都源于电容的充放电原理。 用555定时器构成的单稳态触发器是负脉冲触发的单稳态触发器,其暂稳态维持时间为T w=lnRC=1.1RC,仅与电路本身的参数R、C 有关。 1.2.2 实验数据及分析结论: 单稳态触发器实验电路下图所示

触发器和时序逻辑电路测试题

触发器和时序逻辑电路测试题 (十二章,十三章) 一、填空题 1、存放N为二进制数码需要_______个触发器。 2、一个四位二进制减法计数器状态为_______时,在输入一个计数脉冲,计数状 态为1111,然后向高位发_____信号。 3、时序逻辑电路在结构方面的特点是;由具有____逻辑门电路和具有______的 触发器两部分组成。 4、十进制计数器最少要用______个触发器。 5、用N个触发器可以构成存放_______位二进制代码寄存器。 6、在数字电路系统中,按逻辑功能和电路特点,各种数字集成电路可分位 ________逻辑电路和_________逻辑电路两大类。 7、8421BCD码位1001,它代表的十进制是_________。 8、8421BCD码的二一进制计数器当前计数状态是1000,再输入三个计数脉冲, 计数状态位________。 9、数码寄存器主要由______和______组成,起功能是用来暂存_______数码。 10、同步计数器各个触发器的状态转换,与________同步,具有______特点。 11、寄存器在断电后,锁存的数码_______。 12、4个触发器构成8421BCD码计数器,共有______个无效状态,即跳过二 进制数码_________到______6个状态。 二、判断题、 1、移位寄存器每输入一个脉冲时,电路中只有一个触发器翻转。() 2、移位寄存器即可并行输出也可串行输出。() 3、右移寄存器存放的数码将从低位到高位,依次串行输入。() 4、八位二进制能表示十进数的最大值是256. () 5、表示一位十进制数至少需要二位二进制。() 6、触发器实质上就是一种功能最简单的时序逻辑电路,是时序逻辑存储记忆的基础。() 7、数码寄存器存放的数码可以并行输入也可以串行输入。() 8、显示器属于时序逻辑电路类型。() 9、计数器、寄存器和加法器都属于时序逻辑电路。() 10、时序逻辑电路具有记忆功能。() 11、用4个触发器可构成4位二进制计数器。()

D触发器的设计和仿真讲解

实验一、D触发器的设计和仿真 一、实验目的 1、学习模拟数字电路单元的基本设计方法。 2、学习Cadence工具下电路设计的基本操作和方法。 3、学习Sprectre工具的仿真操作方法。 二、实验内容 本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D 触发器电路的设计和仿真;分析仿真结果。该电路设计采用上华CSMC0.5umCMOS 工艺设计,工作电压5V。 三、实验步骤 1、登陆到UNIX系统。 在登陆界面,输入用户名和密码,用户名和密码都为学生学号。 2、Cadence的启动。 启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。 3、原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。 (3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。 (4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。 (5)添加线名。为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。

数字逻辑实验-触发器及其运用和组合逻辑电路的分析教材

武汉大学计算机学院教学实验报告课程名称数字逻辑成绩教师签名 实验名称触发器及其运用和组合逻辑电 路的分析实验序号02 实验日期2012-05 -09 姓名徐佩学号2012301 500163 专业计算机 科学与 技术 年级-班2012级 计科5 班 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析) 小题分:一、实验目的 1)1.熟悉并掌握R-S、D、J-K触发器的构成、工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解触发器的简单应用。 2)1. 掌握组合逻辑电路的分析方法。 2. 掌握组合逻辑电路的设计方法,逻辑函数简化技术及芯片的使用方法。 二、实验内容 1)1. 基本R-S触发器的功能测试 2. 集成D触发器的功能测试及应用 2)1. 组合逻辑电路的分析 2. 组合逻辑电路的设计 三、实验原理 1)1用“与非门”构成的基本R-S触发器是无时钟控制低电平直接触发的触发器, 它具有置“0”、置“1”和“保持”三种功能 2在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为Q n+1=D n ,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器 2)1、逻辑电路分析,是指对一个给定的逻辑电路找出其输出与输入之间的逻辑关系。 2、逻辑电路设计的首要任务是将设计问题转化为逻辑问题,即将文字描述的设计要求抽象为一种逻辑关系。就组合逻辑电路而言,就是抽象出描述问题的逻辑表达式。

二、实验环境及实验步骤 小题分:(本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 一、实验环境 1)1. 双踪示波器 2. 74LS00 二输入四与非门 3. 74LS112 双J-K触发器 4. 74LS74 双D触发器 2)1. TD-DS实验箱 2. 示波器 3. 74LS00 二输入四与非门 4. 74LS04 六反相器 5. 74LS20 四输入二与非门 6. 74LS86 二输入四异或门 二、实验步骤 1)用相对应的芯片做实验,连接电源,测试效果;验证电路的逻辑功能。 2)结合芯片,连接实验所给的逻辑电路,测试其功能。 三、实验过程分析 小题分:(详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过 程及方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形等)

参考实验报告——触发器应用实验报告

实验报告 课程名称:数字电子技术实验 指导老师: 樊伟敏 成绩: 实验名称:触发器应用 实验类型: 设计型实验 同组学生姓名: 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一、实验目的 1. 加深理解各触发器的逻辑功能,掌握各类触发器功能的转换方法。 2. 熟悉触发器的两种触发方式(电平触发和边沿触发)及其触发特点。 3. 掌握集成J-K 触发器和D 触发器逻辑功能的测试方法。 4. 学习用J-K 触发器和D 触发器构成简单的时序电路的方法。 5. 进一步掌握用双踪示波器测量多个波形的方法。 二、主要仪器设备 实验选用集成电路芯片:74LS00(与非门)、74LS11(与门)、74LS55(与或非门)、74LS74(双D 触发器)、74LS107(双J—K 触发器),GOS-6051型示波器,导线,SDZ-2实验箱。 三、实验内容、实验原理(或设计过程)、实验电路及实验结果 1、 触发器功能的转换: 1.1实验原理: 将某种功能的触发器转换成另一种功能的触发器时,可以在触发器外添加适当的组合逻辑电路来实现,其结构框图如下图所示: 其中D 触发器,T ′触发器,JK 触发器的次态方程如下: D 触发器:; T ′触发器:; JK 触发器: 。 1.2 实验内容、设计过程、实验电路与实验结果 a. D 触发器转换为T’触发器实验 ①设计过程:D 触发器和T’触发器的次态方程如下: D 触发器:Q n+1= D T’触发器:Q n+1=!Q n 若将D 触发器转换为T’触发器,则二者的次态方程须相等,因此有:D=!Q n 所以就可以得到D 触发器转换为T’触发器的转换电路。 ②仿真与实验电路图:仿真与实验电路图如图1所示。 1n n n Q Q KQ +=1n n Q Q +=1n Q D +=专业: 工科平台 姓名: XXX 学号: 308XXXXXXX 日期: 10.05.04 地点: 东3—306

相关文档
最新文档