计算机组成原理课程设计

计算机组成原理课程设计
计算机组成原理课程设计

燕山大学

课程设计报告

名称:复杂模型机的设计与实现

学院(系)

年级专业

学生姓名

学号

日期

一、实验目的:

综合运用所学的计算机原理知识,设计并实现较为完整的计算机。

二、实验设备:

TDN—CM+计算机组成原理实验教学系统一台,排线若干。

三、数据格式及指令格式

1.数据格式:

模型机规定的采用定点补码表示法表示数据,且字长为8位,其格式如下

7 6 5 4 3 2 1 0

符号尾数

其中7位为符号位,树枝表示范围:-1≤X<1

2.指令格式:

模型机设计四大类指令共十六条,其中包括算术逻辑指令,I/O指令,访问及转移指令和停机指令。

(1)算术逻辑指令

设计9条算术逻辑指令并用单节字表示,寻址方式采用寄存器直接寻址,其格式如下:

7 6 5 4 3 2 1 0

OP-CODE rs rd

其中,OP-CODE为操作码,rs为源寄存器,rd为目的寄存器,并规定:

rs或rd 选定的寄存器

00

01 10 R0 R1 R2

9条算术逻辑指令的名称,功能和具体格式见表9-1

(2)访问指令及转移指令

模型机设计2条访问指令,即存数(STA),取数(LDA),2条转移指令,即无条件转移指令(JMP),结果为零或有进位转移指令(BZC),指令格式为:

7 6 5 4 3 2 1 0

00 M OP-CODE rd

D

其中,OP-CODE为操作码,rd为目的寄存器(LDA,ST A指令使用),D为位移量(正负均可),M为寻址模式,其定义如下:

寻址模式M 有效地址E 说明

00

01

10

11

E=D

E=(D)

E=(RI)+D

E=(PC)+D

直接寻址

间接寻址

RI变址寻址

相对寻址

本模型机规定变址寄存器RI指定为寄存器R2。

(3)I/O指令

输入和输出指令采用单字节指令,其格式如下:

7 6 5 4 3 2 1 0

OP-CODE addr rd

其中,addr=01时,选中“INPUT DEVICE”中的开关组作为输入设备,addr=10时,选中“OUTPUT DEVICE”中的数码块作为输出设备。

(4)停机指令

指令格式如下:

7 6 5 4 3 2 1 0

OP-CODE 00 00

HALT指令用于实现停机操作。

(4)指令系统

本模型机共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入输出指令2条,其他指令1条。

汇编符号指令格式功能

CLR rd 0111 00 rd 0 → rd

MOV rs,rd 1000 rs rd rs → rd

ADC rs,rd 1001 rs rd rs+rd+cy → rd

SBC rs,rd 1010 rs rd rs-rd-cy → rd

LDA M,D,rd 00 M 00 rd

E → rs

D

STA M,D,rd 00 M 01 RD

rd → E

D

JMP M,D 00 M 10 00

E → PC

D

BZC M,D 00 M 11 00 当CY=1或Z=1时,

E → PC

D

INC rd 1011 rd rd rd+1 → rd

AND rs,rd 1100 rs rd rs∧rd → rd

COM rd 1101 rd rd rd → rd

RRC rs,rd 1110 rs rd cy

rs → rd

cy

RLC rs,rd 1111 rs rd cy

rs → rd

cy IN addr,rd 0100 01 rd addr → rd

OUT arrd,rd 0101 10 rd rd → addr HALT 0110 00 00 停机

四、总体设计:

本模型机的数据通路框图如图9-1,根据机器指令系统要求,设计微程序流程图及确定微地址,如图9-2.

按照系统建议的伪指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码表转换为联机操时的十六进制格式文件

12 11 10 9 8 7 6 5 4 3 2 1

B C μA5 μA4 μA3 μA2 μA1 μA0

A 字段

I5 I4 I3 选择

0 0 0

0 0 1 LDR1

0 1 0 LDDR1

0 1 1 LDDR2

1 0 0 LDIR

1 0 1 LOAD

1 1 0 LDAR

C 字段

9 8 7 选择

0 0 0

0 0 1 P(1)

0 1 0 P(2)

0 1 1 P(3)

1 0 0 P(4)

1 0 1 AR

1 1 0 LDPC B 字段

12 11 10 选择0 0 0

0 0 1 RS-B 0 1 0 RD-B

0 1 1 RI-B

1 0 0 299-B 1 0 1 ALU-B 1 1 0 PC-B

实验程序如下:

$P0044 $P0104 $P0260 $P0344 $P0404 $P0561 $P0644 $P0704 $P0862 $P0970 $P0A04 $P0B71 $P0C46 $P0D04 $P0E70 $P0F21 $P1000 $P11BA $P1200 $P1371 $P1494 $P1504 $P1671 $P1700 $P1870 $P19B0 $P1A45 $P1BA1 $P1C0C $P1D0D $P1E00 $P1F71 $P2058

$P2160

$M00018108

$M0101ED82

$M0200C050

$M0300A004

$M0400E0A0

$M0500E006

$M0600A007

$M0700E0A0

$M0801ED8A

$M0901ED8C

$M0A00A03B

$M0B018001

$M0C00203C

$M0D00A00E

$M0E01B60F

$M0F95EA25

$M1001ED83

$M1101ED85

$M1201ED8D

$M1301EDA6

$M14001001

$M15030401

$M16018016

$M173D9A01

$M18019201

$M1901A22A

$M1A01B22C

$M1B01A232

$M1C01A233

$M1D01A236

$M1E318237

$M1F318239

$M20009001

$M21028401

$M2205DB81

$M230180E4

$M24018001

$M2595AAA0

$M2600A027

$M2701BC28

$M2895EA29

$M2995AAA0

$M2A01B42B

$M2B959B41

$M2C01A42D

$M2D65AB6E

$M2E0D9A01

$M2F01AA30

$M300D8171

$M31959B41

$M32019A01

$M3301B435

$M3405DB81

$M35B99B41

$M360D9A01

$M37298838

$M38019801

$M3919883A

$M3A019801

$M3B070A08

$M3C068A09

实验原理:

本设计是用循环相加的方法来计算3个数的和

1)由用户输入做加法的数的值。

2)本程序通过每次加一个数的方式循环的将3个数相加。

3)每次相加之后判断是否取完所有的数,如果取完则将相加的和输出,否则继续返回取数每次循环:

R0=R0+R1

4)用到的内存地址单元:用60H、61H、62H来存输入的数据,用71H单元存相加的和,用70H 存计数器的值。

5)循环控制的方法:

用寄存器R0存放计数器的值,R1存3,将R0与R1做减法来判断是否取完数据,如果有借位,则没有取完,通过跳转,返回取数相加段,否则取完,输出计算结果。

程序说明:

程序助记符说明

$P0044 IN 01 R0 向R0寄存器输入01

$P0104 STA 00 60 R0 将R0寄存器值存入60单元$P0260 D=60

$P0344 IN 02 R0 向R0寄存器输入02

$P0404 STA 00 61 R0 将R0寄存器值存入61单元$P0561 D=61

$P0644 IN 03 R0 向R0寄存器输入03

$P0704 STA 00 62 R0 将R0寄存器值存入62单元$P0862 D=62

$P0970 CLR R0 将R0寄存器清零

$P0A04 STA 00 71 R0 将存放和的单元置零

$P0B71 D=71

$P0C46 IN 60 R2 向变址寄存器输入60

$P0D04 STA 00 70 R0 :LOOP2 将计数器值存入70单元$P0E70 D=70

$P0F21 LDA 10 00 R1 用变址方式取数到R1寄存

$P1000 D=00

$P11BA INC R2 变址寄存器值加1

$P1200 LDA 00 71 R0 取出计算结果到R0寄存器$P1371 D=71

$P1494 ADC R1 R0 将取出的数加到结果上并

存入R0寄存器

$P1504 STA 00 71 R0 将结果存入71单元

$P1671 D=71

$P1700 LDA 00 70 R0 取出计数器的值到R0寄存

$P1870 D=70

$P19B0 INC R0 计数器的值加1

$P1A45 IN 03 R1 向R1寄存器输入3来进行

取数次数的比较

$P1BA1 SBC R0 R1 两个寄存器的值做减法运

算,结果存R1

$P1C0C BZC LOOP2 判断是否有借位,有责跳转

回LOOP2部分,否则继续向

下执行

$P1D0D D=0D

$P1E00 LDA 00 71 R0 LOOP1 取出71单元存放的结果到

R0寄存器

$P1F71 D=71

$P2058 OUT R0 输出R0寄存器的值,即计

算结果

$P2160 HALT 停机结束

五、实验步骤:

1.按图连接好电路

2.写程序

联机读/写程序

使用软件中的F1功能写入程序,然后用F4-LOAD功能装入机器指令格式文件写入实验箱,

3.运行程序

联机运行时,进入DEBUG调试界面,总清开关CLR(0-1)清零后,程序首地址为00H,按相应的功能键即可联机运行、调试程序。

实验结果:

输入数据:01、02、03

最后输出结果为06

结果正确。

六、心得体会:

通过近一个星期的课程设计,结果设计出了一个看似很一般的指令系统,但是我感觉还是从中学到了不少东西。把以前从书本上学习到的东西完全应用到实践中。开始的时候看书上的一些现成的指令、微指令等东西的时候不知所云,因为它们是机器语言,读起来很费劲,最后通过询问老师,还有同学,给了我很大的帮助,终于弄懂了各条指令的含义和用法,从他们身上学到了很多有用的东西。而且当自己完成了任务之后,还主动帮助其他有困难的同学,本来枯燥的课程设计结果却成了大家一起进步的阶梯。所以我姐的,一次课程设计最主要的目的不是让我妈真正把正确的东西做出来在老师、同学们面前炫耀,而是在这个过程中我们学习到的作为一个团队,作为一个班级的一员,我们要学会跟团队合作。这些在我们走进自己的工作岗位上时,是我们每个人都应该也是必须学会的。

这次课程设计培养了我们时间为主的良好的科学研究精神。加强了每个人对计算机的进一步认识,增强了我们的实践能力。为今后的工作打下了良好的基础。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理考研知识点汇总

计算机组成原理考研知 识点汇总 一, 计算机系统概述 (一) 计算机发展历程 第一台电子计算机ENIAC诞生于1946年美国宾夕法尼亚大学.ENIAC用了18000电子管,1500继电器,重30吨,占地170m2,耗电140kw,每秒计算5000次加法.冯?诺依曼(VanNeumann)首次提出存储程序概念,将数据和程序一起放在存储器,使编程更加方便.50年来,虽然对冯?诺依曼机进行很多改革,但结构变化不大,仍称冯?诺依曼机. 发展阶段时间硬件技术速度/(次/秒) 第一代1946-1957 电子管计算机时代40 000 第二代1958-1964 晶体管计算机时代200 000 第三代1965-1971 中小规模集成电路计算机时代 1 000 000 第四代1972-1977 大规模集成电路计算机时代10 000 000 第五代1978-现在超大规模集成电路计算机时代100 000 000 EDVAC(Electronic Discrete Variable Automatic Computer)电子离散变量计算机 组成原理是讲硬件结构的系统结构是讲结构设计的 摩尔定律微芯片上的集成管数目每3年翻两番.处理器的处理速度每18个月增长一倍. 每代芯片的成本大约为前一代芯片成本的两倍 新摩尔定律全球入网量每6个月翻一番. 数学家冯·诺依曼(von Neumann)在研究EDVAC机时提出了“储存程序”的概念.以此为基础的各类计算机通称为冯·诺依曼机.它有如下特点: ①计算机由运算器,控制器,存储器,输入和输出五部分组成 ②指令和数据以同等的地位存放于存储器内,并可按地址寻访 ③指令和数据均用二进制数表示 ④指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 ⑤指令在存储器内按顺序存放 ⑥机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成 图中各部件的功能 ·运算器用来完成算术运算和逻辑运算并将的中间结 果暂存在运算器内 ·存储器用来存放数据和程序 ·控制器用来控制,指挥程序和数据的输入,运行以及 处理运行结果 ·输入设备用来将人们熟悉的信息转换为机器识别的 信息 ·输出设备将机器运算结果转为人熟悉的信息形式

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理-知识点

课程知识点分析 试题类型: 单项选择2’* 10 = 20’; 填空1’* 15 = 15’; 简答5’* 3 = 15’; 计算题6’* 5 = 30’; 分析论述10’*2 = 20’; 总分100’; 各位同学,在使用这份资料复习时,要注意: 带有红色标记的是重点内容; 尽管很多知识点只有几个字,但是涉及的内容却非常多,比如Cache映像机制;考虑到有些同学考试时有不好的习惯,为了避免麻烦,我在这儿只给大家提纲,请大家对应的看书; 请大家看时,把你特别不明白的地方标出来,发送给lei.z@,我在周一给大家讲解。蓝色标记是之前考过的,应该很重要。大题都在第四章以后--------------------------------------------------------------------- 第一章计算机系统概论 1.1教学内容介绍 (1计算机的发展与应用。 (2计算机系统的层次结构。

(3计算机的特点:快速性、通用性、准确性和逻辑性。 (4计算机的分类方法。 (5性能指标。 1.2重难点分析 (1计算机系统从功能上可划分为哪些层次?各层次在计算机系统中起什么作用? (2冯.诺依曼计算机体系的基本思想是什么?(选择、填空。指令和数据都是用二进制表示的 (3按照此思想设计的计算机硬件系统应由哪些部件组成?各起什么作用? (4如:指令和数据都存于存储器中,计算机如何区分它们? (5衡量计算机性能的主要指标- 机器字长(定义、主频、CPI、MIPS(含义、FLOPS等等 第三章系统总线 3.1教学内容 (1总线及分类。总线是连接各个部件的信息传输线,总线包括:片内总线、系统总线和通信总线。 (2理解总线标准的意义,看看你知道主板上的几种标准总线。 (3总线特性及性能指标: 包括机械特性、电气特性、功能特性和时间特性。 (4总线结构:单总线结构、双总线结构和三总线结构。 (5总线连接方式: 串行传送、并行传送和分时传送。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理重点难点习题解答

计算机组成原理复习题 一.单项选择题 1.计算机中的主机包含( A )。 A.运算器、控制器、存储器 B.运算器、控制器、外存储器 C.控制器、内存储器、外存储器 D.运算器、内存储器、外存储器 2.二进制数10010010,相应的十进制数是(B)(128+16+2=146) A.136 B.146 C.145 D.144 3.要使8位寄存器A中高4位变0,低4位不变,可使用(A)。逻辑乘 A. A∧0FH→A B.A∨0FH→A C. A∧F0H→A D. A∨F0H→A 4.在计算机内部用于汉字存储处理的代码是(B) A.汉字输入码 B.汉字内码 C.汉字字型码 D.汉字交换码 5.转移指令执行时,只要将转移地址送入( C.程序计数器)中即可 A.地址寄存器 B.指令寄存器 C.程序计数器 D.变址寄存器 6.设机器中存有代码10100011B,若视为移码,它所代表的十进制数为( B.35)。 A.-23 B.35 C.53 D-113 7.将(-25.25)十进制数转换成浮点数规格化(用补码表示),其中阶符、阶码共4位,数符、尾数共8位,其结果 为( B.0101,10011011 ) A.0011,10010100 B.0101,10011011 C.0011,1110 D.0101,1100101 8.(2000)10化成十六进制数是( B.(7D0)16)。

A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7FO)16 9. 下列数中最大的数是((10011001)2=153 )。 A.(10011001)2 B.(227)8C。(98)16 D.(152)10 10. ( D. 移码)表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 11. 在小型或微型计算机里,普遍采用的字符编码是( D. ASCⅡ码)。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 12. 下列有关运算器的描述中,(D. 既做算术运算,又做逻辑运算)是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 13.控制存储器存放的是(C.微程序)。 A.微程序和数据 B.机器指令和数据 C.微程序 D.机器指令 14. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为( B. 立即寻址)。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 15. 下面描述的RISC机器基本概念中正确的表达是( B. RISC机器一定是流水CPU)。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统 D. CPU配备很少的通用寄存器 16. 系统总线中地址线的功能是(D. 用于指定主存和I/O设备接口电路的地址)。 A. 用于选择主存单元地址 B. 用于选择进行信息传输的设备

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

计算机组成原理实验

实验3 MIPS指令系统和MIPS体系结构 一.实验目的 (1)了解和熟悉指令级模拟器 (2)熟悉掌握MIPSsim模拟器的操作和使用方法 (3)熟悉MIPS指令系统及其特点,加深对MIPS指令操作语义的理解(4)熟悉MIPS体系结构 二. 实验内容和步骤 首先要阅读MIPSsim模拟器的使用方法,然后了解MIPSsim的指令系统。(1)、启动MIPSsim (2)、选择“配置”->“流水方式”选项,使模拟器工作在非流水方式。

(3)、参照使用说明,熟悉MIPSsim模拟器的操作和使用方法。 (4)、选择“文件”->“载入程序”选项,加载样例程序 alltest.asm,然后查看“代码”窗口,查看程序所在的位置。 (5)、查看“寄存器”窗口PC寄存器的值:[PC]= 0x00000000 。

(6)、执行load和store指令,步骤如下: 1)单步执行一条指令(F7)。 2)下一条指令地址为 0x00000004 ,是一条有(有,无)符号载入字节 (字节,半字,字)指令。 3)单步执行一条指令(F7)。 4)查看R1的值,[R1]=-128。

5)下一条指令地址为 0x00000008 ,是一条(有,无)符号载入字(字节,半字,字)指令。 6)单步执行1条指令。 7)查看R1的值,[R1]=128。 8)下一条指令地址为 0x0000000C ,是一条无(有,无)符号载入字(字节,半字,字)指令。 9)单步执行1条指令。

10)查看R1的值,[R1]=128。 11)单步执行1条指令。 12)下一条指令地址为 0x00000014 ,是一条保存字(字节,半字,字)指令。 13)单步执行一条指令。

计算机组成原理期末复习知识要点

第一章 1)冯.诺依曼主要三个思想是什么? (1)计算机处理采用二进制或二进制代码 (2)存储程序 (3)硬件五大部分:输入设备、输出设备、存储器、运算器和控制器 2)计算机硬件由哪5部分组成? 输入设备、输出设备、存储器、运算器和控制器 3)VLSI中文的意思是什么? 超大规模集成电路 4)列举出三个计算机应用领域? 1.科学技术计算2.数据信息处理3.计算机控制 4.计算机辅助技术5.家庭电脑化 5)计算机系统分哪两大系统? 硬件和软件系统 6)计算机内部信息包括哪两大信息? 计算机中有两种信息流动:一是控制信息,即操作命令,其发源地为控制器;另一种是数据流,它受控制信息的控制,从一部件流向另一部件,边流动边加工处理。 7)计算机性能主要包括哪三个主要性能? (1)基本字长: 是参与运算的数的基本长度,用二进制数位的长短来衡量,取决寄存器、加法器、数据总线等部件的位数。 (2)主存容量:可以用字节,有的用字长,K、M、G、T (3)运算速度: 是每秒能执行的指令条数来表示,单位是条/秒。(MIPS) 8)现代计算机系统分为五个层次级别是如何划分的? 从功能上,可把现代计算机系统分为五个层次级别: 第一级是微程序设计级:是硬件级 第二级是一般机器级:机器语言级 第三级是操作系统级:是操作系统程序实现。(混合级) 第四级是汇编语言级:一种符号形式语言。 第五级是高级语言级 9)机器数是指什么?它主要是解决了数值的什么表示? 10)机器数有哪4种表示方法? 原码表示法、补码表示法、和移码表示法四种。 11)计算机数值有哪两种表示方式?它主要解决了数值的什么表示? 定点表示和浮点表示。主要解决数中小数点的位置的确定。 12)浮点数在计算机内部表示两种方式是如何安排的? 13)尾数是补码表示其规格化如何表示? 正数:0.1×…×的形式负数:1.0×…×的形式 14)解释计算机内部数值0和字符0有何不同? 数值0在计算机中为00H,而字符0为其ASCII码30H。 15)计算机如何判断加法溢出的? 当运算结果超出机器所能表示的数域范围时,称为溢出。 判别方法有:符号位判别法、进位判别法、双符号位判别法。 16)半加器与全加器有什么不同?

计算机组成原理全部实验.

计算机科学技术系王玉芬2012年11月3日

基础实验部分该篇章共有五个基础实验组成,分别是: 实验一运算器实验 实验二存储器实验 实验三数据通路组成与故障分析实验 实验四微程序控制器实验 实验五模型机CPU组成与指令周期实验

实验一运算器实验 运算器又称作算术逻辑运算单元(ALU),是计算机的五大基本组成部件之一,主要用来完成算术运算和逻辑运算。 运算器的核心部件是加法器,加减乘除运算等都是通过加法器进行的,因此,加快运算器的速度实质上是要加快加法器的速度。机器字长n位,意味着能完成两个n位数的各种运算。就应该由n个全加器构成n位并行加法器来实现。通过本实验可以让学生对运算器有一个比较深刻的了解。 一、实验目的 1.掌握简单运算器的数据传输方式。 2.掌握算术逻辑运算部件的工作原理。 3. 熟悉简单运算器的数据传送通路。 4. 给定数据,完成各种算术运算和逻辑运算。 二、实验内容: 完成不带进位及带进位的算术运算、逻辑运算实验。 总结出不带进位及带进位运算的特点。 三、实验原理: 1.实验电路图

图4-1 运算器实验电路图

2.实验数据流图 图4-2 运算器实验数据流图 3.实验原理 运算器实验是在ALU UNIT 单元进行;单板方式下,控制信号,数据,时序信号由实验仪的逻辑开关电路和时序发生器提供,SW7-SW0八个逻辑开关用于产生数据,并发送到总线上;系统方式下,其控制信号由系统机实验平台可视化软件通过管理CPU 来进行控制,SW7-SW0八个逻辑开关由可视化实验平台提供数据信号。 (1)DR1,DR2:运算暂存器, (2)LDDR1:控制把总线上的数据打入运算暂存器DR1,高电平有效。 (3)LDDR2:控制把总线上的数据打入运算暂存器DR2,高电平有效。 (4)S3,S2,S1,S0:确定执行哪一种算术运算或逻辑运算(运算功能表见附录1或者课本第49页)。 (5)M :M =0执行算术操作;M =1执行逻辑操作。 (6)/CN :/CN =0表示ALU 运算时最低位加进位1;/CN =1则表示无进位。 (7)ALU -BUS :控制运算器的运算结果是否送到总线BUS ,低电平有效。 (8)SW -BUS :控制8位数据开关SW7-SW0的开关量是否送到总线,低电平有效。 四、实验步骤: 实验前首先确定实验方式(是手动方式还是系统方式),如果在做手动方式实验则将方式选择开关置手动方式位置(31个开关状态置成单板方式)。实验箱已标明手动方式和系统方式标志。所有的实验均由手动方式来实现。如果用系统方式,则必须将系统软件安装到系统机上。将方式标志置系统模式位置。学生所做的实验均在系统机上完成。其中包括高 ALU DR1 DR2 LDDR1 T4 LDDR2 T4 S1 S2 M0 S0 CN S3

相关文档
最新文档