时序逻辑电路课后答案

时序逻辑电路课后答案
时序逻辑电路课后答案

第六章 时序逻辑电路

【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

Y

图P6.3

【解】驱动方程: 11323131233

J =K =Q J =K =Q J =Q Q ;K =Q ??

???

输出方程:3Y

Q =

将驱动方程带入JK 触发器的特性方程后得到

状态方程为:

n+11313131n 1

2121221n+1

3321

Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +?=+=?=+=⊕??=?e 电路能自启动。状态转换图如图

【题

】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。

图A6.3

Y

图P6.5

【解】

驱动方程: 12

21212()

D AQ D AQ Q A Q Q ?=??==+??

输出方程: 21Y AQ Q =

将驱动方程带入JK 触发器的特性方程后得到状态方程为:

n+1

12

n+1

212()

Q AQ

Q A Q Q ?=??=+?? 电路的状态转换图如图

1

图A6.5

【题 】 分析图时序电路的逻辑功能,画出电路的状态转换图,检查电路能否自启动,说明电路能否自启动。说明电路实现的功能。A 为输入变量。

A

Y

图P6.6

【解】驱动方程: 11221

1

J K J K A Q ==??

==⊕?

输出方程: 1212Y AQ Q AQ Q =+

将驱动方程带入JK 触发器的特性方程后得到状态方程为:

n+111

n+1

2

12

Q Q Q A Q Q ?=??=⊕⊕?? 电路状态转换图如图。A =0时作二进制加法计数,A =1时作二进制减法计数。

01图A6.6

【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

Y

图P6.7

【解】驱动方程: 001023102032013

012301;;;J K J Q Q Q K Q J Q Q K Q Q J Q Q Q K Q

==??

=?=??==??==? 输出方程: 0123Y Q Q Q Q =

将驱动方程带入JK 触发器的特性方程后得到状态方程为:

*

00*

1012301

*

2023012*3

012303()Q ()Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q

?=?=++??=++??=+? 设初态Q 1Q 3Q 2Q 1 Q 0=0000,由状态方程可得:

状态转换图如图。电路能自启动

图A6.7

【题】试画出用4片74LS194组成16位双向移位寄存器的逻辑图。74LS194的

功能表见表。

【解】见图

【题 】在图电路中,若两个移位寄存器中的原是数据分别为A 3A 2A 1A 0=1001, B 3B 2B 1B 0=0011,试问经过4个CLK 信号作用以后两个寄存器中数据如何这个电路完成什么功能

图P6.10

【解】经过4个时钟信号后,两个寄存器里的数据分别为A 3A 2A 1A 0=1100,B 3B 2B 1B 0=0000。这是一个4位串行加法器电路。CL 的初始值设为0。

【题 】在图计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表。

CP

1

1Y 图P6.11

【解】图电路为七进制计数器。

【题 】在图计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表所示

CP

11

进位输出

图P6.12

【解】电路的状态转换图如图。这是一个十进制计数器。

图A6.12

【题 】试用4位同步二进制计数器74LS161接成十二进制计数器,标出输入、输出端。可以附加必要的门电路。74LS161的功能表见表【解】见图

Y 进位输出

图 A5.10

【题 】试分析图的计数器在M =1和M =0时各为几进制。74160的功能表见表。

进位输出图 A6.13

>CLK

【解】M =1时为六进制计数器,M =0时为八进制计数器。

【题 】图电路时可变进制计数器。试分析当控制变量A 为1和0时电路各为几进制计数器。74LS161的功能表见表。

CP

1图 P6.15

【解】A =1时为十二进制计数器,A =0时为十进制计数器。

【题 】设计一个可控进制的计数器,当输入控制变量M =0时工作在五进制,M =时工作在十五进制。请标出计数输入端和进位输出端。

【解】见图。

CP

1图 P6.16

【题 】分析图给出的计数器电路,画出电路的状态转换图,说明这是几进制计数器。74LS290的电路见图。

进位输出

图 P6.17

【解】这是一个七进制计数器。电路的状态转换图如图所示。其中3210Q Q Q Q 的0110、0111、1110、1111四个状态为过渡状态。

图A6.17

【题】试分析图计数器电路的分频比(即Y与CLK的频率之比)。74LS161的功能表见表。

Y

图 P6.18

【解】第(1)级74LS161接成了七进制计数器,第(2)级74LS161接成了九进制计数器,两级串接7 9=63进制计数器。故Y的频率与CLK的频率之比为1:63。【题】图电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见表。

Y

图 P6.19

【解】第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片串接组成三十进制计数器。

【题 】分析图给出的电路,说明这是多少进制的计数器,两片之间是多少进制。74LS161的功能表见表。

CLK Y

【解】在出现D L =0信号以前,两片74LS161均按十六进制计数。即第(1)片到第(2)片为十六进制。当第(1)片计为2,第(2)片计为5时产生D L =0信号,总的进制为5 16+2+1=83 故为八十三进制计数器。

【题 】用同步十进制计数器芯片74160设计一个三百六十五进制的计数器。要求各位间为十进制关系。允许附加必要的门电路。74160的功能表见表。 【解】见图

输出

图A6.22

个位十位

百位

【题 】设计一个数字钟电路,要求能用七段数码管显示从0时0分0秒到23时59分59秒之间的任一时刻。

【解】电路接法可如图所示。计数器由六片74160组成。第(1)、(2)两片接成六十进制的“秒计数器”,第(1)片为十进制,第(2)片为六进制。第(3)、(4)片为接成六十进制的“分计数器”,接法与“秒计数器”相同。第(5)、第(6)片用整体

复位法接成二十四进制计数器,作为“时计数器”。

显示译码器由六片7448组成,每片7448用于驱动一只共阴极的数码管BS201A。

【题】图所示电路是用二——十进制优先编码器74LS147和同步十进制计数器74160组成的可控分频器,试说明当输入控制信号A、B、C、D、E、F、G、H、I分别为低电平时由Y端输出的脉冲频率各为多少。已知CP端输入脉冲的频率为10KHz。74LS147的功能表如表所示,74160的功能表见表。

Y

图 P6.24

【解】由图可见,计数器74160工作在可预置数状态,每当计数器的进位输出C=1时(即

3210

Q Q Q Q=1001时),在下一个CP上升沿到达时置入编码器74LS147的输出

状态Y

3

Y

2

Y

1

Y

.

图A6.24

再从图给出的74160的状态转换图可知,当A=0时74LS147的输出为

3210

Y Y Y Y=

1110,74160的数据输入端D 3D 2D 1D 0=0001,则状态转换顺序将如图中所示,即成为九进制计数器。输出脉冲Y 的频率为CLK 频率的1/9。依次类推便可得到下表:

【题 】试用同步十进制可逆计数器74LS190和二——十进制优先编码器74LS147设计一个工作在减法计数器状态的可控分频器。要求在控制信号A 、B 、C 、D 、E 、F 、G 、H 分别为1试分频比对应为1/2、1/3、1/4、1/5、1/6、1/7、1/8、1/9。74LS190的逻辑图见图。它的功能表如表。可以附加 必要的门电路。

【解】可用CP 0作为LD 信号。因为在CP 上升沿使3210Q Q Q Q =0000以后,在这个CP 的低电平期间CP 0将给出一个负脉冲。

但由于74LS190的LD =0信号是异步置数信号,所以0000状态在计数过程中是作为暂态出现的。如果为提高置数的可靠性,并产生足够宽度的进位输出脉冲,可以增设由G 1、G 2组成的触发器,由Q 端给出与CLK 脉冲的低电平等宽的LD =0信号,并可由Q 端给出进位输出脉冲。

由图(a )中74LS190减法计数时的状态转换图可知,若LD =0时置入3210

Q Q Q Q =0100,则得到四进制减法计数器 ,输出进位信号与CP 频率之比为1/4。又由74LS147的功能表(表)可知,为使74LS147的输出反相后为0100,4

I 需接入低电平信号,故4I 应接输入信号C 。依次类推即可得到下表: 于是得到如图(b )的电路图。

(a )

图 A6.25

【题 】图时一个移位寄存器型计数器,试画出它的状态转换图,说明这是几进制计数器,能否自启动。

CLK 输入

Y 图P6.26

【解】

图A6.26

状态转换图如图,电路能自启动。这是一个五进制计数器。

【题 】试利用同步十六进制计数器74LS161和4线—16线译码器74LS154设计节拍脉冲发生器,要求从12个输出端顺序、循环地输出等宽的负脉冲。74LS154的逻辑框图及说明见【题】。74LS161地功能表见表。

【解】用置数法将74LS161接成十二进制计数器,并把它地32,1,0,Q Q Q Q 对应地接至74LS154的A 3、A 2、A 1、A 0,在74LS154地输出0Y ~11Y 端就得到了12个等宽地顺序脉冲0P ~11P 。

电路接法见图。

n+111232323n+1

221n+1

33223

Q D Q Q Q Q Q Q Q D Q Q D Q Y Q Q ?==++?==??==?=

11

97

531输

出脉冲

图 A6.28

【题 】设计一个序列信号发生器电路,使之在一系列CLK 信号作用下能周期性地输出“00”的序列信号。

【解】可以用十进制计数器和8选1数据选择器组成这个序列信号发生器电路。若将十进制计数器74160的输出状态32,1,0,Q Q Q Q 作为8选1数据选择器的输入,

则可得到数据选择器的输出Z 与输入32,1,0,Q Q Q Q 之间关系的真值表。

题的真值表

1

图6.29

若取用8选1数据选择器74LS251(见图),则它的输出逻辑式可写为

02101210221032104210521062107210()()()()()()()()Y D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A =++++++++

由真值表写出Z 的逻辑式,并化成与上式对应的形式则得到

321032103210210321032102103210()()()0()()()0()()

Z Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =+++?+++?+

2211000

132457336,,,,,0A Q A Q A Q D D Q D D Q Q Q D D ===========,则

数据选择器的输出Y 即所求之Z 。所得到的电路如图所示。

【题 】设计一个灯光控制逻辑电路。要求红、绿、黄三种颜色的灯在时钟信号作用下按表规定的顺序转换状态。表中的1表示“亮”,0表示“灭”,要求电路能自启动,并尽可能采用钟规模集成电路芯片。

【解】因为输出为八个状态循环,所有用74LS161的低三位作为八进制计数器。若以

R 、Y 、G 分别表示红、黄、绿三个输出,则可得计数器输出状态Q 2 Q 1 Q 0与R 、Y 、G 关系的真值表:

表 表的真值表

选两片双4选1数据选择器74LS153作通用函数发生器使用,产生R 、Y 、G 。 由真值表写出R 、Y 、G 的逻辑式,并化成与数据选择器的输出逻辑式相对应的

形式

21021010210210101021021021010210()()0()()()0()1()()()()0()()

R Q Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Q Q Q Q Q G Q Q Q Q Q Q Q Q Q Q Q =++?+=+?+?+=++?+ 电路图如图

R Y G

图 A6.30

【题 】用JK 触发器和门电路设计一个4位循环码计数器,它的状态转换表如表所示。

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路实验设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 组合逻辑电路实验设计 血型匹配情况判断电路 一、实验题目: 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表 B、10代表AB、11代表O。) 图1 二、电路设计: 方案一: 解: 1、题目分析

根据题意,确定有4个输入变量,设为X、Y、M、N;输出变量为P。 其中,用两个逻辑变量X、Y的四中取值表示输血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 用另外两个逻辑变量M、N的四种取值表示受血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 逻辑输出变量P代表输血者与受血者的血型符合情况:1代表血型符合,0代表血型不符合。 题目中要求用八选一数据选择器(74LS151)及与非门(74LS00)实现电路设计。 2、列写输入与输出变量真值表: 真值表如下图所示 3、逻辑表达式: 根据真值表画出卡诺图:

卡诺图如右图所示: 用八选一数据选择器(74LS151),所以输出逻辑表达式写成最小项和的形式:设X 、Y 、M 为选择变量,X 为高位。 逻辑函数P 的与或标注型表达式: P (X ,Y ,M ,N ) X Y M N X Y M N X Y M N X Y M N X Y M N =+++++ 4、比较表达式: 与标准表达式比较得:267P Nm N m(0,1,3,5)m m =+∑++ 所以,数据选择器中EN=0,0135D D D D N ==== D 2=N ,D 4=0, D 6=D 7=1, 5、逻辑电路图:

实验4门电路与组合逻辑电路的分析

实验4 门电路及组合逻辑电路的研究 —、实验目的 1. 测量集成电路“与非门”的逻辑功能。 2. 学习用集成电路“与非门”组成简单的逻辑电路,并研究其逻辑功能。 3. 用集成电路“与非门”构成知识竞赛抢答器电路,并验证其功能。 二、实验内容与要求 4. 内容: (1)测试“与非门”的逻辑功能; (2)用“与非门”连接成半加器电路并测试其逻辑功能; (3)用“与非门”实现三人抢答电路。 5. 要求: (1)双端输入“与非门”的输入信号为A 、B ,输出端信号为F 。分别在输入端加信号如表4-1,测量输出信号状态并填入表内。 表4-1 “与非门”状态表 (2S 和进位C 。分别在输入端加信号如表4-2,测量输出信号状态并填入表内。 表4-2 半加器状态表 (3)连接出三人(A 、B 、C )抢答器电路。该电路应能实现:任一人抢答时其他人不能再抢答;抢答时,主持人灯和抢答人的指示灯亮;主持人可以清零。 三、实验电路和设备 1. 实验电路 (1)半加器电路参考电路图,见图4-1。(共需要7个“与非门”) 根据B A B A B A B A B A B A S ?=+=+=和AB AB C ==得到用“与非门”组成的电路如下:

图4-1 半加器电路 (2)三人知识竞赛抢答电路参考电路图,见图4-2。(共需要10个“与非门”) 图4-2 三人抢答电路 2.实验设备 实验用到数字电路实验模块。实验模块上有集成四“与非门”芯片74LS00。管脚功能见图4-3。 图4-3 四集成“与非门”74LS00芯片管脚图 实验模块上有集成四输入双“与非门”芯片74LS20。管脚功能见图4-4。

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

第20章习题2门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 A B F 1F 2F 3 (a) (b)

答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B 20-0010、若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

第20章习题1-门电路和组合逻辑电路

第20章习题门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL电路多余输入端 C进行处理(只需一种处理方法),Y i 端应接________________________ ,丫2的C端应接 _______________________ , 费二FqlFl Y I=A+Π S10203G 在F = AB+CD的真值表中,F =1的状态有()。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A、B、C三个输入变量,当 B=I时,其输出为()。 A. 0 B. 1 C. AC D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( A. 饱和 C.饱和或放大 解:D S10204I 逻辑电路如图所示,其逻辑函数式为()。 A. AB AB B. AB AB C. AB AB D. AB A 解:C S10204N 已知F=AB+CD ,选出下列可以肯定使 F = 0的情况()。 S10110B (a) 解:接地、悬空 (b) )。 B.放大 D.饱和或截止 t≈—Y A. C. 解: D A = 0,BC = 1 C = 1, D = 0 B. B = C = 1 D. AB = 0,CD = 0 A ≥ 1 B

三态门电路的三种可能的输出状态是_____________ 解:逻辑1、逻辑O、高阻态

S10214B 逻辑图和输入A, B 的波形如图所示,分析当输出 F 为“1”的时刻应是( )。 A. t ι B. t 2 C. t 3 解:A S10211I 图示逻辑电路的逻辑式为 ( )。 A. F =A B AB B. F=ABAB C. F=(A B)AB 解:B S10212I 逻辑电路如图所示,其功能相当于一个 ( A.门 B.与非门 C.异或门 解:C S10216B 图示逻辑电路的逻辑式为 ( )。 A. F =A B +A B A B. F =AB AB C. F =AB+ A B 解:C S10217B 逻辑图如图(a )所示,输入 A 、B 的波形如图 (b ),试分析在t ι瞬间输出F 为( )。 A. “1” B. “ 0” C. 不定 解:B h (a) (b) S10218B 图示逻辑符号的逻辑状态表为 ( A. B. A B F 0 0 0 0 1 0 1 0 0 1 1 1 A B F 0 0 0 0 1 1 1 0 1 1 1 1 A B F 0 0 1 0 1 1 1 0 1 1 1 C. 解:B T&] A —

相关文档
最新文档