伪随机序列

伪随机序列
伪随机序列

1.伪随机码在扩频系统中,起扩频的作用。主要是因为这类码序列具有类似于随机信号的特性,即具有近似白噪声的性能。

2.选用随机信号传输信息的理由:在信息传输中各种信号之间的差异性越大越好,这样任意两个信号不容易混淆,即相互间不容易发生干扰,不会发生误判。

3.理想的传输信息的信号形式应是类似于白噪声的随机信号,因为取任何时间上的不同的两端噪声来比较都不会完全相似,若能用它们代表两种信号,其差别性就最大。

4.为实现选址通信,信号之间必须是正交或准正交的(互相关性为零或很少)。

5.伪码不但是一种能预先确定的、有周期性的二进制序列,而且又具有接近于二进制数随机序列的自相关特性。

一、伪随机序列的特性

1.相关性概念:

()τ自相关:很容易的判断接收到的信号与本地产生的相同信号复制品之间的波形与相位是否完全一致。相位完全对准时有输出,没有对准时输出为零。互相关:在码分多址中尤为重要,在码分多址中,不同的用户应选用互相关性小的信号作为地址吗,如果两个信号是完全随机的,在任意延迟时间都不相同,则互相关性为0则称为正交,如果有一定的相似性,则互相关性不为0.两个信号的互相关性越少越好,则他们越容易被区分,且相关之间的相关性???????????干扰也小。

2.码序列的自相关性:

序列的自相关函数用于衡量一个序列与它的j 次移位序列之间的相关程度。 常用自相关系数来表示相关性,自相关系数为相关函数的均一化。

二进制序列自相关系数为:

();A D =a i i j A D j P

ρ+-=式中为a 与a 对应码元相同的个数;为不同的个数。P A+D. 3.码序列的互相关性:

序列的互相关函数用于衡量两个不同序列之间的相关程度。

常用互相关系数来表示相关性,互相关系数为相关函数的均一化。

二进制序列互相关系数为:

();ab A D j A ab D P

ρ-=为对应元素相同的数目为不同的数目。m ???????序列:码分多址系统需要具有良好的自相关性的二进制序列作为码。有一个多级反馈移位寄存器产生。伪码组合码:有两个或多个线性码组合而成;Gold 码。

非线性码:最安全的一种

1.m 序列:是由n 级线性移位寄存器产生的周期为21n -的码序列,是最长线性移位寄存器

序列的简称。

线性反馈移位寄存器的递推关系式:

1

;n

n i n i i i a c a c -==∑的值决定了反馈线的连接状态,因此决定了所产生序列的长度和结构。

线性反馈移位寄存器的特征多项式:

()0

;n

i i i i i f x c x x ==∑无意义,其仅表示其系数是c 的值。

码分多址系统主要采用两种长度的m 序列:一种为n=15的m 序列,称为短PN 序列;另一种为n=42的m 序列,称为长PN 序列。

一个n 级m 序列的特性:

1>m 序列的周期N 等于21n

-码片。一般而言,总数为n 个触发器,则移位寄存器可能状态数为2n 。当反馈逻辑完全有摸2加构成时,则此反馈移位寄存器为线性的,不允许全部触发器为0的状态,于是,线性反馈移位寄存器的输出序列周期则不超过21n -。

2>在m 序列的一个周期内,值为1和值为0的码片出现的概率均约等于0.5,并且值为1的码片比值为0的码片多出现一次。

3>均衡特性(平衡性)

在一个周期中,m 序列中“1”的个数比“0”的个数多1个。N 级移位寄存器有2n 状态,这些状态对应的二进制有一半为偶数(即末位数为0),另一半为奇数(即末位数为1)。m 序列一个周期历经21n -个状态,少一个全0状态(属于偶数状态),因此在一个周期中“1”的个数比“0”的个数多1个。

4>游程特性(游程分布的随机性)

长度为k 的游程数占游程总数的1/2k .一个周期中长度为1的游程数占游程总数的1/2;长度为2的游程数占游程总数的1/4;其中1≤k ≤n-1.

5>移位相加特性:

一个m 序列与其循环移位逐位比较,相同码的位数与不同码的位数相差1位,m 序列和其移位后的序列逐位模2加,所得的序列还是m 序列,只是起始位不同而已。 6>自相关性

()()()();01=-+=A D j a P

j a j j kp a a m ρρρρ-=分子表示一个周期内的数目与的数目之差,因为在一个周期内0比1的个数少一个,所以A-D 1(j 为非零整数时)或p (j 为零时)。为偶函数,并且是一个周期函数,序列的自相关函数只有两种取值。

7>功率谱密度:

功率谱密度与自相关函数是一对傅里叶变换。

自相关函数为周期性的、三角形的,所以功率谱密度为离散型的、包络为()2Sa x 。 当p 为无穷大时,m 序列的功率谱密度特性趋近于白噪声的功率谱密度特性。 8>为噪声特性:

当P 趋于无穷大时,m 序列的上述特性,都近似白噪声特性,但是它又有规律,可以重复产生,所以其为一种为噪声序列。

2.Gold 码

为组合码的一种,它有一对级数相同的m 序列线性组合而成,适用于多址、扩频。

Gold 码是m 序列的组合码,有同步时钟控制的两个m 序列逐位摸2加得到,这两个码发生器的周期相同,速率相同,两者保持一定的相位关系,这样产生的组合码与这两个子码序列的周期也相同。当改变两个m 序列的相对位移时,会得到一个新的Gold 码。

Gold 码不再是m 序列。但是仍具有m 序列的优良特性,各个码组之间的互相关特性与原来两个m 序列之间的互相关特性一样,最大的互相关值不会超过原来两个m 序列的最大互相关值。Gold 码最大优点:具有比m 序列多得多的独立码组。

并不是任意的一对级数相同的m 序列都可以产生gold 码,能产生gold 码的一对m 序列为优选m 序列对。

优选对:两个序列互相关函数的绝对值有界且满足条件:

()12222 1.2 1.n

a n n R n τ++?+?=??+?

为奇数;为偶数(不是4的倍数) 通过调节其中一个码序列的延时,一对n 级优选m 序列可组合产生21n -个不同的gold 码,再加上自身一对m 序列,总共就有21n +个金码。

Gold 码的性质:

1>.具有三值互相关特性。当n 为奇数时,码组中约有50%码序列有很低的互相关系数值(-1/p ),n 为偶数时,越有75%码序列有很低的互相关系数值(-1/p ),见表如下: 码长n 21- 互相关系数 出现概率

n 为奇数 -1/p 0.5

1221/p n

+??-+ ??? 两者共

1221/p n

+??- ??? 0.5

N 为偶数 -1/p 0.75

2221/n p +??-+ ??? 两者共0.25

2221/n p +??- ???

2>自相关旁瓣也同互相关函数一样取三值,只是出现的位置不一样。

开发金码的原因:

一、为了寻找适用于多址、扩频通信系统中的伪码。一个n 级优选m 序列对一共可以产生21n +个不同的金马,可以满足系统中大量用户的需求。

二、不同的金码之间只存在着很低(接近于零)的互相关性,不同的金码可以在同一载波频率上被同时播发出去,而不相互干扰;

三、金码良好的自相关特性又为接收机精确测量接收到的金马信号相位提供了条件。 M 序列:军用。

C/A 码;P(Y)码

GPS 上存在着上述两种测距码;载波L1上两者都有,L2上只有P(Y)码; C/A 码周期1023码片速率1.0236

10?码片/秒码宽977.5ns 或293m.

在一个C/A 码码片的时间内载波L1重复1540周,或半个码片相当于770周载波。

P码周期为7天,码率为10.23Mcps,码宽0.1微妙或30m,加密后的p码称为Y码。Y码不是金码。

伪随机序列的产生及应用设计-通信原理课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目:伪随机序列的产生及应用设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold 序列等); 2、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如 DAC0808),观察其模拟信号的特性; 3、分析信号源的特点,使用EWB软件进行仿真; 4、进行系统仿真,调试并完成符合要求的课程设计说明书。 时间安排: 二十二周一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要................................................................................................................................ I 1理论基础知识 (1) 1.1伪随机序列 (1) 1.1.1伪随机序列定义及应用 (1) 1.1.2 m序列产生器 (2) 1.2芯片介绍 (4) 1.2.1移位寄存器74LS194. (4) 1.2.2移位寄存器74LS164 (5) 1.2.3 D/A转换器DAC0808 (6) 2 EWB软件介绍 (8) 3设计方案 (9) 4 EWB仿真 (11) 5电路的安装焊接与调试 (13) 6课程设计心得体会 (14) 参考文献 (15) 附录1 (16)

伪随机序列发生器本科毕业论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

Gold序列的仿真研究

Gold序列的仿真研究 Gold序列的仿真研究 摘要: Gold序列是R·Gold提出的一种基于m序列的码序列,这种序列有较优良的自相关和互相关特性,构造简单,产生的序列数多,因而获得了广泛的应用。本文对Gold序列进行仿真研究,首先介绍了扩频通信中常用的m序列和Gold序列码产生的方法原理和性质,运用Matlab对Gold码的生成和性能进行了仿真分析。 关键词:伪随机序列;Gold序列;m序列;Matlab仿真 SIMULATION OF GOLD SEQUENCES Abstract:Gold sequences is proposed by R ? Gold which is based on m sequences. Gold sequences has good properties, such as good autocorrelation and cross-correlation, easy to construct and more sequences, etc, therefore it has wide applications. This paper investigates the Gold sequences. The principle and performance of m sequences and Gold sequences in spread spectrum communication are first introduced in the paper. Simulation by Matlab is also provided in the paper to analyze the nature of Gold sequences. Key words: Pseudo-random sequence;Gold sequence;m sequence;Matlab simulation

伪随机序列的产生与仿真

基于MATLAB 的伪随机序列的产生 及相关特性的仿真 一、相关概念: 平稳随机过程的各态历经性, 随机信号的频谱特性, 自相关函数, 互相关函数 二、工程背景与理论基础 根据香农的理论,在高斯白噪声干扰情况下,在平均功率受限的信道上,实现有效和可靠通信的最佳信号是具有白噪声统计特性的信号。扩频通信正是由此而来的,在扩频通信最大的优点就是具有强大的抗噪声性能,使有用信号几乎可以淹没在噪声传播。 故扩频通信对扩频序列一般有如下要求: (1)尖锐的自相关特性 (2)尽可能小的互相关值 (3)足够多的序列数,具有良好的伪随机性 (4)序列均衡性好,0、1等概 (5)工程上易于实现 伪随机序列具有以上所以有点,故在CDMA 扩频通信系统中,伪随机序列被作为扩频码之一。下面在理论上阐述下伪随机序列(即m 序列)的产生原理及其所具有的相关数学性质。然后在用MATLAB 语言实现m 序列的产生,并就其相关特性进行仿真,仿真结果结果表明该方法是可行的。 1、 m 序列简单介绍 m 序列是最长线性反馈移位寄存器序列的简称,是由带线性反馈的移位寄存器的周期最长的序列。它是周期为r N=2-1的伪随机序列,r 是移位寄存器的阶数。 下面是IS-95CDMA 系统中I 信道引导PN 序列的生成多项式和线性反馈移位寄存器的框图。 I 支路生成表达式:15139875()1I P x x x x x x x =++++++ 123456789101112131415 输出 图1-1 I 路信号产生器 m 序列具有以下基本性质: (1)均衡性:在m 序列的一个周期中,“1”的个数之比“0”的个数多一个。这表明序列平均性很好,即“1”和“0”几乎就是随机出现的,具有较好的随机性。 (2)具有尖锐的自相关特性,相互不同码字之间几乎是完成正交的。 周期函数的自相关函数定义为:/2/201R()()()T s s T T s t s t dt ττ-=+?,式中0T 是s()t 的周期。

伪随机序列m和M的生成算法实现

m-M 文档 1 相关概念 随机序列:可以预先确定又不能重复实现的序列 伪随机序列:具有随机特性,貌似随机序列的确定序列。 n 级线性移位寄存器,能产生的最大可能周期是21n p =-的序列,这样的序列称为m 序列。 n 级非线性移位寄存器,能产生的最大周期是2n 的序列,这样的序列称为M 序列。 图1线性移位寄存器 线性移位寄存器递推公式 11221101 n n n n n n i n i i a c a c a c a c a c a ----==++++= ∑ 线性移位寄存器的特征方程式 010 ()n n i n i i f x c c x c x c x ==+++= ∑ ,ci 取值为0或1 定义 若一个n 次多项式f (x )满足下列条件: (1) f (x )为既约多项式(即不能分解因式的多项式); (2) f (x )可整除(x p +1), p =2n -1; (3) f (x )除不尽(x q +1), q

由抽象代数理论可以证明,若α是n 次本原多项式()f x 的根,则集合2 2 {0,1,}n F α-= 可 构成一个有限的扩域(2)n G F 。F 中的任一元素都可表示为1110n n a a a αα--+++ ,这样n 个分量的有序序列110(,,,)n a a a - 就可表示F 中的任一元素。 若既约多项式()f x 的根能够形成扩域(2)n G F ,则该多项式是本原多项式,否则不是本原多项式。 2.2 二元域(2)GF 上的本原多项式算法实现 (2)GF 上n 次多项式的通式为 1 2 1210()...n n n n n f x x a x a x a x a ----=++++,系数是二元域上的元素(0,1) 既约多项式既不能整除,1x x +,0和1不可能是()f x 的根,即0a =1, ()f x 的项数一定为奇数。 另外,一个既约多项式是否能形成(2)n G F ,从而判断它是否为本原多项式。N 次多项式的扩域,其中,120,1,,,n ααα 一定在扩域中,需要判断的是12 2 ,n n αα+- 是否也在扩域 中,从而形成全部扩域(2)n G F ,若在,则该n 次既约多项式是本原多项式,否则不是。 (1)给定二元多项式 1 2 1210()...n n n n n f x x a x a x a x a ----=++++,01a = 设α是f(x)扩域中的一个元素,且f(α)=0则有: n n-1 n-11=a ++a +1αα α (1) (2)从n α开始,计算α的连续幂。在计算过程中,当遇到α的幂次为n 时,将(1)代入,一直计算到n 2 -2 α (形成GF (2n )),再计算n 2 -1 α 。若n 2-1 α =1,则证明()f x 能被n 21 x 1-+整 除,而不能整除1q x +(21n q <-),判定为本原多项式。在计算α的连续幂过程中,若 q x =1(21n q <-),则证明()f x 能被1q x +整除,判定为非本原多项式,停止计算。 在计算机实现时,n 个分量的有序序列110(,,)n a αα- 与α的任一连续幂有着一一对应的 关系,可以用有序序列110(,,)n a αα- 来表示α的任一连续幂。q α用110(,,)q q q n a αα- 来

(完整版)伪随机序列的毕业设计

摘要 本毕业设计主要介绍了两种常用的反馈移位寄存器序列(m序列和Gold序列)的特性,并对其进行仿真研究。 伪随机序列良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用,特别是作为扩频码在CDMA系统中的应用已成为其中的关键问题。 在本论文中首先简要阐述了伪随机序列的研究现状及其相关意义,接着介绍了伪随机序列的发展历史,研究方法和研究工具。然后分别对m序列和Gold序列这两种常用的伪随机序列的生成过程、随机特性以及相关特性进行了详细的研究,并分析它们的优点以及存在的问题。最后在理论证明的基础上应用MATLAB仿真验证它们的随机特性,并用仿真做出m 序列和Gold序列相关特性图形并加以比较。 关键词:伪随机序列;m序列;Gold序列;相关;

ABSTRACT Matlab software used extensively in many engineering fields due to its strong operation fanction. To expanding or compressing the signal spectrum in spread spectrum system,the signal is generally multipled by a spread Spectrum sequence. The character of spread spectrum sequence significantly affects the communication quality. In all PN sequences, m-sequence and Gold-sequence are often used asspread spectrum sequence. In this paper, the brief introduction of the theory, property and constructing means of the two sequences are given first, and the generation and analysis of them by programming with M language in MATLAB are given later. The simulation results show the correctness and feasibility of this method.The simple and intuitive method is convenient for the engineering personnel. KEYWORDS:PN sequence; Sequence; Spread spectrum sequence 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

PN码的设计

课程名称:通信系统课程设计课题名称:PN码的设计 系部:电气与信息工程学院专业班级:通信13101 学号:201316020115 学生姓名:朱恩邦 指导教师:侯清莲 完成时间:2016-12-28 报告成绩:

目录 摘要....................................................... 错误!未定义书签。ABSTRACT.. (4) 第一章前言 (5) 1.1设计的提出 (5) 1.2 伪随机序列的应用及其意义 (5) 1.3 伪随机序列研究现状 (6) 1.4设计内容 (6) 第二章伪随机序列与仿真工具的简介 (6) 2.1 伪随机序列理论的发展历史 (7) 2.2 伪随机序列的构造方法 (8) 2.3 MATLAB简介 (8) 第三章m序列 (10) 3.1 m序列的定义 (10) 3.2 m序列的产生 (10) 3.3 m序列的性质 (12) 3.4 m序列的计数 (16) 第四章Gold序列 (16) 4.1 Gold序列的定义 (16) 4.2 m序列优选对 (17) 4.3 Gold序列的产生结构 (20) 4.4 Gold码的性质 (21) 4.5 平衡Gold码 (23) 第五章序列的仿真及其仿真比较 (23) 5.1 m序列的仿真 (23) 5.2 Gold序列的仿真 (26) 5.3 MATLAB环境中伪随机序列相关函数的实现及特性 (28) 5.4 两种相关函数间的相关特性比较 (29) 第六章心得体会 (30) 参考文献: (31)

PN码的设计 摘要 本次设计主要介绍了PN序列中两种常用的反馈移位寄存器序列(m序列和Gold序列)的特性,并对其进行仿真研究。 伪随机序列良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用,特别是作为扩频码在CDMA系统中的应用已成为其中的关键问题。 在本论文中首先简要阐述了伪随机序列的研究现状及其相关意义,接着介绍了伪随机序列的发展历史,研究方法和研究工具。然后分别对m序列和Gold序列这两种常用的伪随机序列的生成过程、随机特性以及相关特性进行了详细的研究,并分析它们的优点以及存在的问题。最后在理论证明的基础上应用MATLAB仿真验证它们的随机特性,并用仿真做出m序列和Gold序列相关特性图形并加以比较。 【关键词】:PN序列(伪随机序列);m序列;Gold序列;相关;

一种新的混沌伪随机序列生成方式

第28卷第7期电子与信息学报V ol.28No.7 2006年7月 Journal of Electronics & Information Technology Jul.2006 一种新的混沌伪随机序列生成方式 罗启彬 张 健 (中国工程物理研究院电子工程研究所绵阳 621900) 摘要利用构造的Hybrid混沌映射,通过周期性改变混沌迭代初值来产生混沌伪随机序列。理论和统计分析可知,该混沌序列的各项特性均满足伪随机序列的要求,产生方法简单,具有较高的安全性和保密性,是一类很有应用前景的伪随机加密序列。 关键词混沌序列, 加密, Lyapunov指数,自相关 中图分类号:TN918 文献标识码:A 文章编号:1009-5896(2006)07-1262-04 A New Approach to Generate Chaotic Pseudo-random Sequence Luo Qi-bin Zhang Jian (Institute of Electronic Engineering, CAEP, Mianyang 621900,China) Abstract This paper proposes hybrid mapping to generate chaotic sequence, by altering initial value periodically. The results show that the properties of the hybrid chaotic sequence are good,and the sequence generator can be easily realized. It is a class of promising pseudo-random sequence in practical applications. Key words Chaotic sequence, Encryption, Lyapunov exponent, Auto-correlation 1 引言 混沌序列是一种性能优良的伪随机序列,其来源丰富,生成方法简单。通过映射函数、生成规则以及初始条件便能确定一个几乎无法破译的加密序列。因此,混沌加密受到越来越多的关注,近年来被广泛应用于保密通信领域[1-4]。 将混沌理论应用于流密码是1989年由Matthews[5]最先提出。迄今为止,利用混沌映射产生随机序列的理论研究很多。但是,混沌序列发生器总是用有限精度来实现,其特性由于有限精度效应会与理论结果大相径庭。因此,有限精度效应是混沌序列从理论走向应用的主要障碍。文献[6]用m 序列与产生的混沌序列“异或”来克服有限精度的影响,但由于微扰是随机的,不易产生,而且系统分布以及相关性能取决于附加的m序列而不是混沌系统本身。文献[7]通过构造变参数复合混沌系统来实现有限精度混沌系统。本文利用构造的分段非线性Hybrid映射,通过周期性地改变混沌迭代初值的办法来产生混沌序列,克服了序列有限精度效应的影响。计算机数值实验表明所产生的混沌序列的各项特性均较好,产生方法简单,具有较高的安全性,是一类很有应用前景的伪随机加密序列。 本文第2节给出了混沌随机序列发生器的产生过程,在此基础上讨论了混沌系统的扰动问题;第4节通过计算机仿真来验证所产生的混沌伪随机序列的性质;最后是结论。 2004-11-22收到,2005-08-08改回 中国工程物理研究院科学技术基金面上资助课题(20050429) 2 序列产生 由于Logistic映射和Tent映射的复杂度都不高,由此产生的混沌加密序列的安全性能都不是非常理想。本文把两者相结合,构造出一种新的混沌迭代映射——Hybrid映射: 2 1 1 2 (1)10 =()= 1, 0<1 k k k k k k b u x x x f x u x x + ???<≤ ? ? ?< ?? , (1) 该映射不但继承了Logistic映射和Tent映射容易产生的特点,而且还能增加混沌系统的安全性。 当初值x0=0.82,u1=1.8,u2=2.0,b=0.85时,此映射处于混沌态,产生的混沌序列如图1所示,其中横轴是迭代次数k,纵轴是经不断迭代得到的混沌状态空间变量x(k)。图1(a)为初值等于0.82的Hybrid混沌映射时序图,图1(b)为Hybrid映射对迭代初值高度敏感性的示意图(初值相差10-15)。 图1 (a) Hybrid mapping 的随机特性 (b) Hybrid mapping 对初值的敏感特性 Fig.1 (a) Randomicity of Hybrid mapping (b) Sensitivity of Hybrid mapping 把生成的实值混沌随机序列{x k}转化为二进制随机序列{S k},按如下方法实施:

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

伪随机序列发生器

伪随机序列发生器 一、实验目的: 理解伪随机序列发生器的工作原理以及实现方法,掌握MATLAB\DSP BUILDER设计的基本步骤和方法。 二、实验条件: 1. 安装WindowsXP系统的PC机; 2. 安装QuartusII6.0 EDA软件; 的序列发生器,并通 ⒈ ⒉ ⒊⒋⒌⒍⒎⒏ ⒐ ⒑ ⒒⒓⒔⒕⒖⒗ 四、实验原理: 对于数字信号传输系统,传送的数字基带信号(一般是一个数字序列),由于载有信息,在时间上往往是不平均的(比如数字化的语音信号),对应的数字序列编码的特性,不利于数字信号的传输。对此,可以通过对数字基带信号预先进行“随机化”(加扰)处理,使得信号频谱在通带内平均化,改善数字信号的传输;然后在接受端进行解扰操作,恢复到原来的信号。伪随机序列广泛应用与这类加扰与解扰操作中。我们下面用DSP BUILDER来构建一中伪随机序列发生器——m序列发生器,这是一种很常见的伪随机序列发生器,可以由线性反馈器件来产生,如下图:

其特征多项式为: ()∑==n i i i x C x F 0 注:其中的乘法和加法运算都是模二运算,即逻辑与和逻辑或。 可以证明,对于一个n 次多项式,与其对应的随机序列的周期为。 12?n 接下来我们以为例,利用DSP BUILDER 构建这样一个伪随机序列发生器。 125++x x 开Simulink 浏览器。 Simulink 我们可以看到在Simulink 工作库中所安装的Altera DSP Builder 库。 2. 点击Simulink 的菜单File\New\Model 菜单项,新建一个空的模型文件。

扩频系统使用的伪随机码PN码

第六章 扩频系统使用的伪随机码(PN 码) 在扩展频谱系统中,常使用伪随机码来扩展频谱。伪随机码的特性,如编码类型,长度,速度等在很大程度上决定了扩频系统的性能,如抗干扰能力,多址能力,码捕获时间。 6.1 移位寄存器序列 移位寄存器序列是指由移位寄存器输出的由“1”和“0”构成的序列。相应的时间波形是指由“1”和“-1”构成的时间函数,如图6-1所示。 图6-1 (a )移位寄存器序列 (b )移位寄存器波形 移位寄存器序列的产生如图6-2 。主要由移位寄存器和反馈函数构成。移位寄存 器内容为),,,(21n x x x f 或1,反馈函数的输入端通过系数与移位寄存器的各级状态相联()(1)(0通或断=i c )输出通过反馈线作为1x 的输入。移位寄存器在时钟的作用下把反馈函数的输出存入1x ,在下一个时钟周期又把新的反馈函数的输出存入1x 而把原1x 的内容移入2x ,依次循环下去,n x 不断输出。 根据反馈函数对移位寄存器序列产生器分类: (1) 线性反馈移位寄存器序列产生器(LFSRSG ):如果),,(1n x x f 为n x x ,,1 的模2加。 (2) 非线性反馈移位寄存器序列产生器(NLFSRSG ):如果),,(1n x x f 不是n x x ,,1 的 模2加。 例1: LFSRSG :n=4,4314321),,,(x x x x x x x f ⊕⊕= (a) 图6-2 移位寄存器序列生成器

共16个不同状态,1111,0000为死态,每个状态只来自一个前置态。 例2: LFSRSG :n=4,4143214321),,,(,1,0,0,1x x x x x x f c c c c ⊕===== 设初态为:1,1,1,14321====x x x x ,则移位寄存器状态转移图如下:

水印代码

clear all; %logistic序列生成算法 figure(1); an=linspace(3.1,3.99,400); hold on;box on;axis([min(an),max(an),-1,2]); N=64*64; xn=zeros(1,N); for a=an; x=rand; for k=1:20; x=a*x*(1-x); end for k=1:N; x=a*x*(1-x); xn(k)=x; b(k,1)=x; end plot(a*ones(1,N),xn,'k.','markersize',1); end c=reshape(b,64,64); %二维矩阵调制 for a1=1:64; for a2=1:64; if c(a1,a2)>=0.5; d(a1,a2)=1; else d(a1,a2)=0; end; end; end %水印加密 e=imread('c:\new\123.BMP'); e=double(e); f=bitxor(d,e);%mm=imread('c:\new\0.BMP');nn=double(mm);%h=bitxor(d,mm); g=bitxor(d,f); figure(2); subplot(2,2,1); imshow(d);title('混沌序列矩阵'); subplot(2,2,2); imshow(e);title('水印图像'); subplot(2,2,3); imshow(f);title('混沌加密水印图像'); imwrite(f,'c:\new\0.BMP'); subplot(2,2,4);

伪随机序列

太原理工大学现代科技学院 移动通信技术课程实验报告 专业班级 学号 姓名 指导教师

实验名称 伪随机序列 同组人 专业班级 学号 姓名 成绩 一、 实验目的 掌握数字锁相环的组成、工作原理及在位同步恢复中的应用。 通过本实验掌握m 序列的特性、产生方法及应用。 通过本实验掌握Gold 序列的特性、产生方法及应用,掌握Gold 序列与m 序列的区别. 二、 实验内容 1、观察位同步电路信号波形及特性。 2、观察数字锁相环提取位同步的相位抖动 。 3、观察m 序列,识别其特征。 4、观察m 序列的自相关特性。 5、观察Gold 序列,识别其特征。 6、观察Gold 序列的自相关特性及互相关特性。 三、 实验原理 1数字基带信号本身是否含有位同步信息与其码型有密切关系。二进制基带信号中的位同步离散谱分量是否存在,取决于二进制基带矩形脉冲信号的占空比。若单极性二进制矩形脉冲信号的码元周期为T s ,脉冲宽度为τ,则NRZ 码的τ=T s ,则NRZ 码除直流分量外不存在离散谱分量,即没有位同步离散谱分量1/T s ;RZ 码的τ满足0<τ

正交编码与伪随机序列

正交编码与伪随机序列

————————————————————————————————作者: ————————————————————————————————日期: ?

3. 正交编码与伪随机序列 在数字通信中,正交编码与伪随机序列都是十分重要的技术。正交编码不仅可以用作纠错编码,还可用来实现码分多址通信。伪随机序列在误码率测量、时延测量、扩频通信、通信加密及分离多径等方面有十分广泛的应用。 3.1. 正交编码 一、几个概念 1、互相关系数 设长为n的编码中码元只取+1、-1,x 和y是其中两个码组 )...,(21n x x x x =,)...,(21n y y y y =,其中)1,1(,-+∈i i y x 则x、y 间的互相关系数定义为 ∑==n i i i y x n y x 1 1),(ρ 如果用0表示+1、1表示-1,则 D A D A y x +-= ),(ρ,其中A 是相同码元的个数,D 为不同码元的个数。 2、自相关系数 自相关系数定义为:∑=+=n i j i i x x x n j 1 1)(ρ,其中下标的计算按模n 计算。 3、正交编码 若码组C y x ∈?,,(C 为所有编码码组的集合)满足0),(=y x ρ,则称C 为正交编码。即:正交编码的任意两个码组都是正交的。 例1:已知编码的4个码组如下: )1,1,1,1();1,1,1,1();1,1,1,1();1,1,1,1(4321--=--=--=++++=S S S S 试计算1S 的自相关系数、21,S S 的互相关系数。 4、超正交编码 若两个码组的互相关系数0<ρ,则称这两个码组互相超正交。如果一种编码中任何两个码组间均超正交,则称这种编码为超正交编码。 例2:例1中取后三个码组,且去掉第1位构成的编码为超正交编码。 (0,1,1),(1,1,0)(1,0,1) 5、双正交编码 由正交编码及其反码便组成双正交编码。

实验一伪随机码发生器实验

实验一 伪随机码发生器实验 一、实验目的 1、 掌握伪随机码的特性。 2、 掌握不同周期伪随机码设计。 3、 用基本元件库和74LS系列元件库设计伪随机码。 4、 了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、 学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、 熟悉通信原理实验板的结构。 二、实验仪器 1、 计算机 一台 2、 通信基础实验箱 一台 3、 100MHz 示波器 一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方面: 1、 由n 级移位寄存器产生的伪随机序列,其周期为-1; n 2 2、 信码中“0”、“1” 出现次数大致相等,“1”码只比“0”码多一个; 3、 在周期内共有-1游程,长度为 i 的游程出现次数比长度为 i+1的 游程出现 次数多一倍; n 24、 具有类似白噪声的自相关函数,其自相关函数为: ()() ?? ? ?≤≤=??=2 210 12/11n n τττρ 其中n 是伪随机序列的寄存器级数。 例如:四级伪码产生的本原多项式为X 4+X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 相应的波形图如图1-1所示:

图1-1 四级伪随机序列波形图 用4个D 触发器和一个异或门构成的伪码发生器具有以下特性: 1、 周期为24-1=15; 2、 在周期内“0”出现24 -1-1=7次,“1”出现24 -1=8次; 3、 周期内共有24 -1 =8个游程; 4、 具有双值自相关特性,其自相关系数为: ????≤≤??==2 21)12(10 14 4τ / τ ρ(τ) 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。 图1-2 四级伪随机码电路原理图 在MAXPLUS II 环境下输入上述电路,其中: dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚 1.2 实验电路编译及FPGA 引脚定义 完成原理图输入后按以下步骤进行编译: (1) 在Assign Device 菜单选择器件MAX7128SLC84。

伪随机序列

目录 伪随机序列 (2) 1 基本原理 (2) 1.1 背景 (2) 1.2 实现原理 (2) 2 实现方式 (3) 3 FPGA的实现 (5) 3.1 设计思路 (5) 3.2 代码实现分析 (5) 3.2.1斐波那契方式 (5) 3.2.2伽罗瓦方式 (9) 4 总结 (12)

伪随机序列 1 基本原理 1.1 背景 随着通信技术的发展,在某些情况下,为了实现最有效的通信应采用具有白噪声统计特性的信号;为了实现高可靠的保密通信,也希望利用随机噪声;另外在测试领域,大量的需要使用随机噪声来作为检测系统性能的测试信号。然而,利用随机噪声的最大困难是它难以重复再生和处理。伪随机序列的出现为人们解决了这一难题。伪随机序列具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理,有预先的可确定性和可重复性。由于它的这些优点,在通信、雷达、导航以及密码学等重要的技术领域中伪随机序列获得了广泛的应用。而在近年来的发展中,它的应用范围远远超出了上述的领域,如计算机系统模拟、数字系统中的误码测试、声学和光学测量、数值式跟踪和测距系统等也都有着广阔的使用。 伪随机序列通常由反馈移位寄存器产生,又可分为线性反馈移位寄存器和非线性反馈移位寄存器两类。由线性反馈移位寄存器产生出的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器,即为通常说的m序列,因其理论成熟,实现简单,应用较为广泛。 m序列的特点: (1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1次,即0、1出现概率几乎相等。 (2)序列中连1的数目是n,连0的数目是n-1。 (3)分布无规律,具有与白噪声相似的伪随机特性。 1.2 实现原理 在二进制多级移位寄存器中,若线性反馈移位寄存器(LFSR)有n 阶(即有n级寄存器),则所能产生的最大长度的码序列为2n-1位。如果数字信号直接

相关文档
最新文档