FPGA课程设计--基于VHDL的2FSK的信号发生器

FPGA课程设计--基于VHDL的2FSK的信号发生器
FPGA课程设计--基于VHDL的2FSK的信号发生器

《FPGA原理及应用》结课论文题目基于VHDL的2FSK的信号发生器

专业名称通信工程

班级学号

学生姓名

提交时间2012年12月13日

设计题目:基于VHDL的2FSK的信号发生器

一、设计实验条件

Quartus II开发环境

二、设计目标

1.通过练习,能够较为熟练的运用Quartus II软件,同时对VHDL语言掌握更加熟悉;

2.基于Quartus II开发环境,利用VHDL硬件描述语言,自上而下地逐层完成相应的描述、

综合、优化、仿真与验证,直到生成器件2FSK信号发生器;

三、设计报告的内容

1.前言(绪论)(设计的目的、意义等)

2.设计主体(原理、步骤、程序或原理图、结果等)

3.对仿真结果进行分析

4.参考资料

一.前言

在通信领域中,为了传送信息,一般都将原始的信号进行某种变换变成适合于通信传输的信号形式。在数字通信系统中,一般将原始信号(图像、声音等)经过量化编码变成二进制码流,称为基带信号。

但数字基带信号一般不适合于直接传输。例如,通过公共电话网络传输数字信号时,由于电话网络的带宽为4 kHz以下,因此数字信号不能直接在其上传输。此时可将数字信号进行调制,2FSK即为一种常用的数字调制方式。2FSK,即二进制频移键控方法简单,易于实现,解调不需恢复本地载波,支持异步传输,抗噪声和抗衰落性能也较强。因此2FSK调制技术在通信行业得到了广泛的应用,并且主要适用于低、中速数据传输。

由于微电子技术的迅猛发展,使得VHDL的性能指标,例如规模、功能、时间等性能也越来越好。VHDL在数字系统设计中占据了越来越重要的位置。而随着器件的发展,开发环境也进一步得到优化。VHDL程序的设计可用Altera公司的Quartus II软件开发系统来实现,它为用户提供了良好的开发环境,包含有丰富的库资源,很容易实现各种电路设计,它支持多种输入方式,并有极强的仿真系统。故利用FPGA 这一新的技术手段来研究数字调制技术有重要的现实意义。设计采用FPGA现场可编程技术, 运用自顶向下的设计思想设计2FSK信号发生器。避免了硬件电路的焊接与调试, 而且由于FPGA 的I/ O 端口丰富, 内部逻辑可随意更改, 使得2FSK信号发生器的实现较为方便。

二.设计主体

1、2FSK信号产生原理

数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK 信号便是符号“1”对应于载频1f,而符号“0”对应于载频2f(与1f不同的另一载频)的已调波形,而且1f与2f之间的改变是瞬间完成的。2FSK调制的波形如图1示。

从原理上讲,数字调频可用模拟调频法来实现,也可用键控法来实现。模拟调频法是利用一个矩形脉冲序列对一个载波进行调频,是频移键控通信方式早期采用的实现方法。2FSK 键控法则是利用受矩形脉冲序列控制的开关电路对两个不同的独立频率源进行选通。键控法的特点是转换速度快、波形好、稳定度高且易于实现,故应用广泛。2FSK 信号的产生方法如图1所示。图中)t (s 为代表信息的二进制矩形脉冲序列,)t (e 0即使2FSK 信号。

图1 2FSK 产生方法

2、设计原理及原理图

由于FSK 为模拟信号,而FPGA 只能产生数字信号,因此需对正弦信号采样并经模数变换来得到所需的FSK 信号。本例由FPGA 产生正弦信号的采样值。FSK 信号发生器框图如图2所示,整个系统共分为分频器、m 序列产生器、跳变检测、2:1数据选择器、正弦波信号产生器和DAC 数模变换器等6部分,其中前5部分由FPGA 器件完成。

图2 2FSK 调制信号发生器框图

(1).分频器

本实例中数据速率为1.2 kHz ,要求产生1.2 kHz 和2.4 kHz 两个正弦信号。对正弦信号每周期取100个采样点,因此要求能产生3个时钟信号:1.2 kHz(数据速率)、120 kHz(产生1.2 kHz 正弦信号的输入时钟)和240 kHz(产生2.4 kHz

正弦信号的输入时钟)。基准时钟由一个12 MHz的晶振提供。设计中要求一个50分频(产生240 kHz信号),再2分频(产生120 kHz信号)和100分频(产生1.2 kHz 信号),共有三个分频值。

(2).m序列产生器

m序列是伪随机序列的一种,它的显著特点是:随机特性,预先可确定性,循环特性。正因为这些特性,使得m序列产生器在通信领域得到了广泛的应用。

这里用一种带有两个反馈抽头的3级反馈移位寄存器,得到一串“1110010”循环序列,并采取措施防止进入全“0”状态。通过更换时钟频率可以方便地改变输入码元的速率。m序列产生器的电路结构如图3所示。

图3 m序列产生器

m序列产生器电路结构

(3).跳变检测

将跳变检测引入正弦波的产生中,可以使每次基带码元上升沿或下降沿到来时,对应输出波形位于正弦波形的sin0处。引入跳变检测主要是为了便于观察,确保示波器上显示为一个连续的波形。

基带信号的跳变检测可以有很多方法,图4为一种便于在可编程逻辑器件中实现的方案。

图4 信号跳变检测电路

(4).2:1数据选择器

2:1数据选择器用于选择正弦波产生器的两个输入时钟。一个时钟的频率为120 kHz,此时正弦波产生器产生一个1.2 kHz的正弦波,代表数字信号“0”;另一个时钟的频率为240 kHz,此时产生一个2.4 kHz的正弦波信号,代表数字信号“1”。

(5).正弦信号的产生

用数字电路和DAC变换器可以产生要求的模拟信号。根据抽样定理可知,当用模拟信号最大频率两倍以上的速率对该模拟信号采样时,便可将原模拟信号不失真地恢复出来。本例要求得到的是两个不同频率的正弦信号,实验中对正弦波每个周期采样100个点,即采样速率为原正弦信号频率的100倍,因此完全可以在接收端将原正弦信号不失真地恢复出来,从而可以在接收端对FSK信号正确地解调。经DAC转换后,可以在示波器上观察到比较理想的波形。

本设计中每个采样点采用8位量化编码,即8位分辨率。采样点的个数与分辨率的大小主要取决于CPLD/FPGA器件的容量,其中分辨率的高低还与DAC的位数有关。实验表明,采用8位分辨率和每周期100个采样点可以达到相当不错的效果。

具体的正弦信号产生器可以用状态机来实现。按前面的设计思路,本实现方案共需100个状态,分别为s1~s100。同时设计一个异步复位端,保证当每个“1”或“0”到来时其调制信号正好位于坐标原点,即sin0处。状态机共有8位输出(Q7~Q0),经DAC变换为模拟信号输出。为得到一个纯正弦波形,应在DAC的输出端加上一个低通滤波器,由于本设计仅观察FSK信号,因此省去了低通滤波器。

本设计中,数字基带信号与FSK调制信号的对应关系为“0”对应1.2 kHz,“1”对应2.4 kHz,此二载波的频率可以方便地通过软件修改。

3、对仿真结果进行分析

2FSK的总体波形如图5所示,其中CLOCK为正弦波发生器时钟MODE表示0:2FSK;1:2PSK,CLK120为用于产生一个1.2KHz的正弦波信号,CLK240为用于产生一个2.4KHz的正弦波信号,VALUE为正弦波的采样点峰值,M_MODE为输出m序列。

图5 2FSK仿真波形图

下图6所示为产生的2FSK波形,可以明显地看到在M_MODE跳变前后VALUE 的码元宽度相差一倍,实现了2FSK的频率变化来传递数字信息,即120KHz表示信号“0”,240KHz表示信号“1”。

图6 2FSK仿真波形图

下图3-6可以看出传递信号“1”的波形密度明显比传递信号“0”的波形密度高。

图7 2FSK仿真波形图

2FSK的信号传递频率从下图8中可以看出为240KHz,信号“1”和“0”的跳变是通过相位的改变来实现的。

图8 2FSK仿真波形图

4、具体程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.all;

USE IEEE.std_logic_unsigned.all;

USE IEEE.std_logic_arith.all;

ENTITY PSKFSK IS

PORT(CLOCK:IN STD_LOGIC; --正弦波发生器时钟

MODE:IN STD_LOGIC; --0:FSK;1:PSK

CLK240: buffer STD_LOGIC; --用于产生一个2.4KHz的正弦波信号

CLK120: buffer STD_LOGIC; --用于产生一个1.2KHz的正弦波信号

VALUE: out std_logic_vector (7 DOWNTO 0); --正弦波的采样点峰值

M_CODE:BUFFER STD_LOGIC); --输出m序列

END PSKFSK;

ARCHITECTURE PSKFSK_ARCH OF PSKFSK IS

SIGNAL COUNT100:INTEGER RANGE 0 TO 99; --记录100个状态

SIGNAL COUNT50: INTEGER RANGE 0 TO 49; --记录50个状态

SIGNAL COUNT: INTEGER RANGE 1 TO 10; --记录10个状态,实现12M分频到240KHz 得到CLK240时钟信号

SIGNAL SINCLK,CODERATE: STD_LOGIC; --正弦波信号的频率以及随机序列的编码速率

SIGNAL TEMP,JUMP_HIGH,JUMP_LOW: STD_LOGIC; --0,1跳变标志

SIGNAL M: std_logic_vector (2 DOWNTO 0); --m序列

BEGIN

PROCESS(CLOCK) --分频为240KHz的CLK240信号

BEGIN

IF (CLOCK'EVENT AND CLOCK = '1') THEN

IF (COUNT = 10) THEN

COUNT<=1; --计数满时计数回复初值

CLK240<=NOT CLK240; --输出时钟翻转一次

ELSIF (COUNT=5) THEN

COUNT<=COUNT+1;

CLK240 <= NOT CLK240;--输出时钟翻转一次

ELSE COUNT<=COUNT+1;

END IF;

END IF;

END PROCESS;

PROCESS(CLK240) --分频为120KHz的CLK120信号

BEGIN

IF (CLK240'EVENT AND CLK240 = '1') THEN

CLK120<=NOT CLK120;

END IF;

END PROCESS;

PROCESS(CLK120) --LOAD_CLK1 100分频得到CODERATE码元速率1.2KHz BEGIN

IF (CLK120'EVENT AND CLK120= '1') THEN

IF(COUNT50=49) THEN

COUNT50<=0;

CODERATE<=NOT CODERATE;

ELSE COUNT50<=COUNT50+1;

END IF;

END IF;

END PROCESS;

M_SEQUENCE_FORM: --产生"1110010"m序列

PROCESS(CODERATE)

BEGIN

IF(CODERATE'EVENT AND CODERATE= '1') THEN

M(0)<=M(1); --实现移位功能

M(1)<=M(2);

END IF;

END PROCESS;

PROCESS(CODERATE)

BEGIN

IF(CODERATE'EVENT AND CODERATE= '1') THEN

M(2)<=(M(1) XOR M(0)) OR (NOT (M(0) OR M(1) OR M(2))); --逻辑表达式跟上面的移位功能产生m的随机序列“1110010”

END IF;

END PROCESS;

M_CODE<=M(0);

PROCESS(MODE,CLK240,CLK120,M_CODE)

BEGIN

IF (MODE='0' AND M_CODE='0') THEN SINCLK<=CLK120;

ELSE SINCLK<=CLK240; --选择正弦信号波产生器的时钟频率

END IF;

END PROCESS;

JUMP_HIGH<=(NOT TEMP) AND M_CODE; --0到1跳变

JUMP_LOW<=(NOT M_CODE) AND TEMP; --1到0跳变

PROCESS(SINCLK) --2FSK与2PSK对跳变的不同处理

BEGIN

IF(SINCLK'EVENT AND SINCLK= '1') THEN

TEMP<=M_CODE;

IF((COUNT100=99) OR (JUMP_HIGH='1')) THEN COUNT100<=0; --波形输出

ELSIF((JUMP_LOW='1') AND (MODE='1')) THEN COUNT100<=50;

ELSE COUNT100<=COUNT100+1;

END IF;

END IF;

END PROCESS;

PROCESS(COUNT100) --产生sin周期波形的1个周期内的100个样点值BEGIN

CASE COUNT100 IS

when 0=>value<="01111111";

when 1=>value<="10000111";

when 2=>value<="10001111";

when 3=>value<="10010111";

when 4=>value<="10011111";

when 5=>value<="10100110";

when 6=>value<="10101110";

when 7=>value<="10110101";

when 8=>value<="10111100";

when 9=>value<="11000011";

when 10=>value<="11001010";

when 11=>value<="11010000";

when 12=>value<="11010110";

when 13=>value<="11011100";

when 14=>value<="11100001";

when 15=>value<="11100110";

when 16=>value<="11101011";

when 17=>value<="11101111";

when 18=>value<="11110010";

when 19=>value<="11110110";

when 20=>value<="11111000";

when 21=>value<="11111010";

when 22=>value<="11111100";

when 23=>value<="11111101";

when 25=>value<="11111111"; when 26=>value<="11111110"; when 27=>value<="11111101"; when 28=>value<="11111100"; when 29=>value<="11111010"; when 30=>value<="11111000"; when 31=>value<="11110110"; when 32=>value<="11110010"; when 33=>value<="11101111"; when 34=>value<="11101011"; when 35=>value<="11100110"; when 36=>value<="11100001"; when 37=>value<="11011100"; when 38=>value<="11010110"; when 39=>value<="11010000"; when 40=>value<="11001010"; when 41=>value<="11000011"; when 42=>value<="10111100"; when 43=>value<="10110101"; when 44=>value<="10101110"; when 45=>value<="10100110"; when 46=>value<="10011111"; when 47=>value<="10010111"; when 48=>value<="10001111"; when 49=>value<="10000111"; when 50=>value<="01111111"; when 51=>value<="01110111"; when 52=>value<="01101111"; when 53=>value<="01100111";

when 55=>value<="01011000"; when 56=>value<="01010000"; when 57=>value<="01001001"; when 58=>value<="01000010"; when 59=>value<="00111011"; when 60=>value<="00110100"; when 61=>value<="00101110"; when 62=>value<="00101000"; when 63=>value<="00100010"; when 64=>value<="00011101"; when 65=>value<="00011000"; when 66=>value<="00010011"; when 67=>value<="00001111"; when 68=>value<="00001100"; when 69=>value<="00001000"; when 70=>value<="00000110"; when 71=>value<="00000100"; when 72=>value<="00000010"; when 73=>value<="00000001"; when 74=>value<="00000000"; when 75=>value<="00000000"; when 76=>value<="00000000"; when 77=>value<="00000001"; when 78=>value<="00000010"; when 79=>value<="00000100"; when 80=>value<="00000110"; when 81=>value<="00001000"; when 82=>value<="00001100"; when 83=>value<="00001111";

when 85=>value<="00011000";

when 86=>value<="00011101";

when 87=>value<="00100010";

when 88=>value<="00101000";

when 89=>value<="00101110";

when 90=>value<="00110100";

when 91=>value<="00111011";

when 92=>value<="01000010";

when 93=>value<="01001001";

when 94=>value<="01010000";

when 95=>value<="01011000";

when 96=>value<="01011111";

when 97=>value<="01100111";

when 98=>value<="01101111";

when 99=>value<="01110111";

when others=>NULL;

END CASE;

END PROCESS;

END PSKFSK_ARCH;

5、参考资料

[1] 褚振勇,齐亮.FPGA设计及应用[M] .西安:西安电子科技大学出版社,2006.

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

便携式双声道音频信号发生器的制作_图文(精)

口器件与电路 酽囿盯,龟岛囿响四@60,@凹滁 便携式双声道音频信号发生器的制作.产品设计. 齐忠琪 (新疆师范大学教育科学学院。新疆鸟鲁木齐830053 【摘要】音频信号发生器是测量声音信号处理设备性能指标必不可少的仪器,早期音频信号发生器由基本的Lc 振荡电路及外围电路所组成。目前常用的音频信号发生器普遍使用单片机及外围电路所组成。介绍了用多媒体计算机和便携式多媒体播放器制作双声道音频信号发生器的方法。用此方法制作双声道音频信号发生器具有制作方法简单、成本低、携带方便等优点。 【关键词】音频信号发生;双声道;多媒体计算机 【中图分类号】TP37【文献标识码】A Production of Portable Double Channel Audio Signal Generator Qt Zhongqi (College of Education Science,Xinjiang Normal University,Urumqi 830053,China 【Abstract]Audio signal generator is essential equipment to measure the sound signal and deals with equipment performance.Early audio signal generator consists of the basic LC oscillator circuit and external circuit.The audio signal generator currently widely uses microcontroller and external circuit.Equipment has a certain size and weight,certain funds for equipment needs.the method of multimedia computers and portable media players how tO make double-channel audio signal generator are described.This method is simple,low cost and easy to carry?

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

高频信号发生器的设计与制作

一、概要 在高等学校课程设计是一个重要的教学环节,它与实验、生产实习、业设计构成实践性教学体系。由此规定了课程设计的三个性质:一是教学,学生在教师指导下针对某一门课程学习工程设计; 二是实践性,课程设包括电路设计、印刷板设计、电路的组装和调试等实践内容; 三是群众性、主动性,课程设计以学生为主体,要求人人动手,教师只起引导作用,主任务由学生独立完成,学生的主观能动性对课程设计的完成起决定性作。学生较强的动手能力就是依靠实践性教学体系来培养的。 1.1 何谓课程设计 所谓课程设计就是大型实验,是具有独立制作和调试的设计性实验,其基本属性体现在工程设计上。但课程设计毕竟不同于一般实验。 首先是时间和规模不同,一般实验只有两学时,充其量为四学时;而课程设计一般为一~两周。实验所要达到的目的较小。通常只是为了验证某一种理论、掌握某一种参数的测量方法、学习某一种仪器的使用方法等等;而课程没计则是涉及一门课程甚至几门课程的综合运

用,所以课程设计是大型的。 其次,完成任务的独立性不同,一般实验学生采用教师事先安排好的实验板和仪器,实验指导书上详细地介绍了做什么和如何做,实验时还有教师现场指导,学生主要任务是搭接电路,用仪器观察现象和读取数据,因此实验是比较容易完成的;而课程设计不同,课程设计只给出所要设计的部件或整机的性能参数,由学生自己去设计电路、设计和制作印刷电路板,然后焊接和调试电路,以达到性能要求。 课程设计和毕业设计性质非常接近,毕业设计是系统的工程设计实践,而课程设计则是工程设计实践的初步训练,它为毕业设计打下一定基础。课程设计与毕业设计在规模上和要求上,大小高低不同,但它们都属于工程设计,因此工作步骤是类似的。 1.2 课程设计的目的要求 1 、课程设计的目的是帮助学生综合运用所学的理论知识,把一些单元电路有机地组合起来,组成小的系统,使学生建立系统的概念;并使学生巩固和加强已学理论知识。并掌握一般电子电路分析和设计的基本步骤。 2 、掌握常用元器件的检测、识别方法及常用电子仪器的正确使用方法。 3 、掌握印制板的制作流程以及protel 99 SE的使用等基本技能。 4 、培养一定的独立分析问题、解决问题的能力。对设计中遇到的问题能通过独立思考、查阅有关资料,寻找解决问题的途径;对调试中

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

FPGA设计的报告课程设计

FPGA课程设计 实 验 报 告

实验一:设计一个可控的100进制可逆计数器 一、实验要求 用DE2-115开发板下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、关键词 可控制、可逆、100进制、复位、暂停、递增、递减 三、内容摘要 module updown_count(qout,reset,clk,plus,minus); output[7:0] qout;/*定义一个8位的输出,其目的是 低四位和高四位分别表示计数器的个位和十位。*/ input clk,plus,minus,reset;//定义四个输入,时钟,加计数,减计数和清零 reg[7:0] qout;//qout的数据类型为寄存器型 always @(posedge clk)//当clk上升沿到来时执行一遍下列程序 begin if(!reset) qout<=0;//当reset为低电平时,计数器执行清零功能,否则跳过else begin case({minus,plus})//case语句模块,包含加,减和暂停四个模块 2'b10: if (qout[3:0]==0)//判断个位是否为零,若不为零,跳到个位减一begin qout[3:0]<=9;//给个位赋值 if(qout[7:4]==0) qout[7:4]<=9;//判断十位是否为零,并且给十位赋值 else qout[7:4]<=qout[7:4]-1;//由于个位赋9,相当于向十位借一,因而十位减一end else qout[3:0]<=qout[3:0]-1;//个位减一 /*这一部分是减计数模块,其思路是:首先判断个位是否为零,若为零,则执行后面的程序,个位直接赋9,并且十位减一;否则个位减一*/ 2'b01: if (qout[3:0]==9)//判断个位是否为9,否则跳到个位加一begin

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于EDA音乐播放器的设计

长沙学院课程设计说明书 题目音乐播放器的设计 系(部) 电子与通信工程 专业(班级) 电气工程及其自动化(一班) 姓名 学号 指导教师 起止日期 2010-11-29至2010-12-10

EDA技术课程设计任务书 系(部):电子与通信工程系专业:电气工程及其自动化指导教师:

长沙学院课程设计鉴定表 目录

摘要 (4) 设计原理 (5) 程序: (6) 实验现象: (14) 引脚分配: (14) 仿真波形: (15) 心得体会: (16) 参考文献: (16) 摘要:

在SOPC开发平台上实现一个音频信号发生器,编写3段音乐,利用开发平台的蜂鸣器来播放几段音乐。可进行自动循环播放和手动播放两个模式的选择。如果为手动播放,则通过拨动拨码开关第1、2位选择。播放音乐时,要求将该音乐的序号(分别为A1、A2,A3)以及该音乐播放剩余的时间(分、秒)显示在数码管上。当某首音乐开始播放时,音乐序号闪烁显示3秒钟。 关键字: SOPC、音频信号、音乐、蜂鸣器、自动循环、手动播放、剩余时间(分钟、秒钟)显示、音乐序号(A1、A2、A3)显示。 设计原理: 首先采用分块设计法,将设计分为分频模块、时间动态扫描显示模块、音乐播放模块、顶层模块。其中音乐播放模块又可分为音频模块、音乐代码模块,预置数模块利用计数的方式将50MHZ的频率分为12MHz、100Hz、8Hz、1Hz。 音乐播放模块需要完成以下设计: ①预置乐曲,本次设计选取了《梁祝》的一段作预置,在作预置时,需要将乐曲音符转换成相应的代码,通过计算逐一将音符转换成代码,通过EDA开发平台quartus Ⅱ进行乐曲定制; ②为了提供乐曲发音所需要的发音频率,编写数控分频器程序,对单一输入高频,进行预置数分频,生成每个音符发音的相应频率; ③为了给分频提供预置数,需要计算分频预置数; 对每部分结构单元逐一进行编译,生成相应的元器件符号,并对独立结构单元功能进行仿真。 音调的控制 频率的高低决定了音调的高低。综合考虑各因素,本次设计中选取12MHZ作为CLK的分频计数器的输入分频信号。由于乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符相对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。 表1 简谱中的音名与频率的关系 这次设计中所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为0.25秒,则只需要再提供一个4Hz的时钟频率即可产生四分音符的时长。系统工作时就按4Hz的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为0.25秒.如果在曲谱文件中某个音符为三拍音长,只要将该音符连续书写三遍,系统读乐曲文件的时候就会连续读到三次,也就会发三个0.25秒的音长,这时我们听上去就会持续了三拍的时间,这样就可以控制音乐的音长了

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

FPGA课程设计题目

1、彩灯控制器设计 内容及要求: 设计一个彩灯控制器,具体设计要求如下: (1)要有多种花型变化(至少设计5种),led至少16路 (2)多种花型可以自动变化 (3)彩灯变换的快慢节拍可以选择 (4)具有清零开关 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 2、数字秒表设计 内容及要求: 设计一用于体育比赛的数字秒表,具体设计要求如下: (1)6位数码管显示,其中两位显示min,四位显示see,显示分辨率为0.01 s。 (2)秒表的最大计时值为59min59.99see。 (3)设置秒表的复位/启动键,按一下该键启动计时,再按即清0。依此循环。 (4)设置秒表的暂行/继续键。启动后按一下暂行,再按继续。依此循环。 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 3、交通信号控制系统设计 内容及要求: 设计一个十字路口交通控制系统,具体设计要求如下: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、5秒和45秒, 交通灯运行的切换示意图和时序图分别如图1、图2所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 图1 交通灯运行切换示意图

B红 CP A绿 A黄 A红 B黄 B绿 5S 5S 图2 交通灯时序图 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 4、简易密码锁设计 内容及要求 设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 5、出租车计价器设计 内容及要求 (1)设一个出租车自动计费器,计费包括起步价、行驶计费和等待计费三个部分,用4个数码管显示出金额数目,最大值为999.9元,最小计价单位为0.1元。行驶里程在3公里范围内且等待时间未超过三分钟时按起步价8元计费;行驶里程超过三公里后按每公里2元收费;等待时间超过三分钟后按每分钟1元收费。等待时间用两个数码管显示,最大值为59分钟。 总费用=起步价+(里程-3km )*里程单价+(等待时间-3)*等候单价 (2)能够实现的功能: 显示汽车行驶里程:用四位数字显示,单位为km 。 计程范围为0~99km ,计程分辨率为1km 。 显示等候时间:用两位数字显示分钟,单位为min 。计时范围为0~59min ,计时分辨率为1min 。

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

(完整版)高频电子线路课程设计

课程设计 班级:电信12-1班 姓名:徐雷 学号:1206110123 指导教师:李铁 成绩: 电子与信息工程学院 信息与通信工程系

目录 摘要 (1) 引言 (2) 1. 概述 (3) 1.1 LC振荡器的基本工作原理 (3) 1.2 起振条件与平衡条件 (4) 1.2.1 起振条件 (4) 1.2.2平衡条件 (4) 1.2.3 稳定条件 (4) 2. 硬件设计 (5) 2.1 电感反馈三点式振荡器 (5) 2.2 电容反馈三点式振荡器 (6) 2.3改进型反馈振荡电路 (7) 2.4 西勒电路说明 (8) 2.5 西勒电路静态工作点设置 (9) 2.6 西勒电路参数设定 (10) 3. 软件仿真 (11) 3.1 软件简介 (11) 3.2 进行仿真 (12) 3.3 仿真分析 (13) 4. 结论 (13) 4.1 设计的功能 (13) 4.2 设计不足 (13) 4.3 心得体会 (14) 参考文献 (14)

徐雷:LC振荡器设计 摘要 振荡器是一种不需要外加激励、电路本身能自动地将直流能量转换为具有某种波形的交流能量的装置。种类很多,使用范围也不相同,但是它们的基本原理都是相同的,即满足起振、平衡和稳定条件。通过对电感三点式振荡器(哈脱莱振荡器)、电容三点式振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析,根据课设要求频率稳定度为10-4,西勒电路具有频率稳定性高,振幅稳定,频率调节方便,适合做波段振荡器等优点,因此选择西勒电路进行设计。继而通过Multisim设计电路与仿真。 关键词:振荡器;西勒电路;Multisim Abstract The oscillator is a kind of don't need to motivate, circuit itself automatically device for DC energy into a waveform AC energy applied. Many different types of oscillators, using range is not the same, but the basic principles are the same, to meet the vibration, the equilibrium and stability conditions. Based on the inductance of the three point type oscillator ( Hartley), three point capacitance oscillator ( Colpitts) and improved capacitor feedback oscillator (Clapp and Seiler) analysis, according to class requirements, Seiler circuit with high frequency stability, amplitude stability frequency regulation, convenient, suitable for the band oscillator etc., so the final choice of Seiler circuit design. Then through the Multisim circuit design and simulation. Key Words:Oscillator; Seiler; Multisim 1

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

相关文档
最新文档