交通灯论文

毕业论文(设计)题目:

基于EDA的交通灯控制器的设计

学生姓名:吴耀才

学号:07013511

专业班级:计算机科学与技术071班指导教师:毕春跃职称讲师指导教师:职称

起止时间:2010.9~2011.5

诚信声明

我声明,所呈交的毕业设计作品和论文是本人经过近四年的基础课程与专业课程学习的基础上,在指导老师的指导下,经过几个月集中的毕业设计学习、实践和努力工作所取得的成果。据本人查证,除了文中特别加以标注和致谢的地方外,设计作品和论文中不包含其他人已经发表或撰写过的研究成果。我承诺,设计作品和论文中的所有内容均真实、可信。

学生签名:

签名日期:年月日

计算机与信息学院

本科毕业论文(设计)选题审批表

计算机与信息学院

本科毕业论文(设计)任务书

(此表为教师填写,内容打印,签名必须手写。学生要保存好该任务书,与开题报告一同上交指导教师,以备装订。)

浙江万里学院毕业设计(论文)报告纸┊

┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

计算机与信息学院

本科毕业论文(设计)开题报告

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊

┊注:开题报告内容可以打印,但导师和系(教研室)意见及签名必须手写。

┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

论文目录

摘要 (11)

Abstract (12)

1 引言 (13)

2 设计的基本理论与工具概述 (13)

2.1电子设计自动化EDA技术 (13)

2.1.1 EDA技术的概述 (13)

2.1.2 EDA技术的优势 (14)

2.1.3 EDA技术的发展趋势 (15)

2.2硬件描述语言——VHDL语言 (15)

2.3开发软件平台——QuartusⅡ (16)

2.4时序逻辑电路 (16)

3 交通灯控制器的分析与设计 (17)

3.1五叉路口现状图 (17)

3.2交通信号灯配置方案 (17)

3.3交通灯控制器设计总框图 (19)

4 交通灯控制器各模块的具体实现 (21)

4.1模块FEN的实现 (21)

4.2模块FEN2的实现 (22)

4.3模块XIAO的实现 (23)

4.4模块AN的实现 (24)

4.5模块CONA的实现 (25)

4.6模块CONB与模块CONC的实现 (26)

4.7模块SEL1与模块SEL2的实现 (27)

4.8模块CH61A与CH61B的实现 (29)

4.9模块DISPA与模块DISPB的实现 (30)

5 交通灯控制器的测试与仿真 (32)

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊6 结果分析与讨论 (33)

7 总结 (34)

致谢 (35)

[参考文献] (36)

附录1:文献综述 (37)

附录2:测试报告 (40)

附录3:作品说明书 (42)

附录4:外文文献译文 (43)

附录5:外文文献原文 (46)

┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

摘要

随着我国经济的飞速发展和城市人口的日益增多,城市交通拥挤现象成为越来越严重的现实,由此引发出日益严重的交通问题:交通拥挤甚至堵塞,交通事故频繁,空气和噪声污染严重,公共运输系统效率下降等。为了改善交通秩序,增加交通安全,减少交通延误,节省能源和土地的消耗,因而解决交通问题迫在眉睫。如今,人们越来越关注把先进的科学技术用于交通管理,同时促进了交通自动控制技术的不断发展[3]。因此,设计出智能化的城市交通信号灯控制器是解决交通问题的重要手段之一。

通过利用FPGA/CPLD大容量、可重构、可编程的特点设计五叉路口交通灯控制器,可充分利用现有交通资源,缓解城市交通压力,并对突发事件造成的交通堵塞进行紧急处理,及时疏通道路交通。

根据设计的要求,本文提出了一个交通灯控制器的控制方案,利用EDA工具设计出五叉路口使用的交通灯控制器,要求红、黄、绿灯按规定的时间和方式进行转换。并介绍了用VHDL硬件描述语言和可编程器件FPGA/CPLD,运用QuartusⅡ开发软件平台实现该方案中交通灯控制器的设计。

关键词:EDA,VHDL,交通灯控制器,FPGA/CPLD

┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

Abstract

With the rapid development of China's economy and the growing urban population, urban traffic congestion becoming an increasingly serious phenomenon of reality, which triggered a growing traffic problem: traffic congestion or congestion, frequent accidents, air and noise pollution is serious , inefficient public transportation systems and so on. In order to improve traffic order to increase traffic safety and reduce traffic delays, saving energy and land consumption, and thus solve the traffic problem is imminent. Now, there is growing concern about the advanced science and technology for traffic management, while promoting the traffic the development of automatic control technology. Therefore, the design of intelligent urban traffic lights controller is one of the most important means to solve traffic problems.

Through the use of FPGA / CPLD high-capacity, reconfigurable, programmable features designed five-fork in the road traffic light controller that can make full use of existing transport resources, ease the pressure on urban traffic, and traffic congestion caused by emergencies for emergency treatment in time to clear the road.

According to the design requirements, we propose a traffic light controller, the control scheme, the use of EDA tools to design a five-fork in the road traffic light controller used to require red, yellow, green time and manner required for conversion. And introduced the use of VHDL hardware description language and programmable logic device FPGA / CPLD, development software using Quartus Ⅱplatform in the program design of traffic light controller.

Key Words:EDA,VHDL,traffic light controller,FPGA/CPLD.

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

1 引言

近年来伴随着社会的发展以及人们生活水平的提高,城市街道车辆出现大幅度增长,给城市交通带来巨大压力,而各式各样的路口,便是车辆通行的瓶颈所在。而交通灯是城市交通监管系统的重要组成部分,对于保证机动车辆的安全行驶,维持城市道路的顺畅起到了重要作用。因此,城市交通信号灯控制器是解决交通问题的重要手段之一。自从道路的出现,交通信号灯就成为了一条道路的灵魂,它维持着道路的顺畅和保证机动车辆的安全行驶。现在的交通灯通常由红、黄、绿三种颜色灯组成用来指挥交通的信号灯。绿灯亮时,准许车辆通行,黄灯亮时,已越过停止线的车辆可以继续通行;红灯亮时,禁止车辆通行。而当中红、黄、绿三种颜色灯的协调变换也便是交通信号灯的本质作用,因此,控制交通信号灯颜色协调变换的时序逻辑电路才是它的真正的灵

魂[1]。所以,只要处理好交通信号灯的时序逻辑电路,就能设计出一个具有完善功能的交通灯控制器。

EDA技术是现代电子信息工程领域的一门新技术,它是在先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。当今的EDA技术更多的是指芯片内的电子系统设计自动化,即片上系统设计。开发人员完全可以通过自己的电子系统设计来制定其芯片内部的电路功能,可以将设计过程中的许多细节问题抛开,而将注意力集中在系统的总体开发上,实现了真正意义上的电子设计自动化[2]。

本课题主要运用EDA技术设计五叉路口交通灯控制器。由于,五叉路口交通状况更为复杂,所出现的交通意外异常的频繁,因此更需要完美的交通灯信号灯配置方案。运用实践性方法,通过对五叉路口的观察、实验和调查,制定出最合适的五叉路口通行原则,进而设计交通灯控制器设计方案,解决各个信号灯配置问题,并通过在QuartusⅡ环境中进行测试、仿真。完善的交通灯控制器能够进一步保证机动车辆的安全行驶,维持城市道路的顺畅。

2 设计的基本理论与工具概述

2.1电子设计自动化EDA技术

2.1.1 EDA技术的概述

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展[2]。

然而,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。因此,EDA技术的出现,减轻了设计者的劳动强度,极大地提高了电路设计的效率和可操作性。

通过利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成[3]。

现在对EDA的概念或范畴用得很宽。其中,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术,其具体应用越来越广泛。

2.1.2 EDA技术的优势

与传统的数字电子系统中的手工设计相比,EDA技术有很大的不同:

(1)用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。

(2)EDA工具之所以能够完成各种自动设计过程,关键是有各类库的支持。如逻辑仿真时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等。这些库都是EDA公司与半导体生产厂商紧密合作、共同开发的。

(3)某些HDL也是文档型的语言(如VHDL),极大地简化了设计文档的管理。

(4)EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需要通过计算机,就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后,还能对系统上的目标器件进行所谓边界扫描测试。这一切都极大地提高了大规模系统电子设计的自动化程度。

(5)系统对于设计者来说,没有任何自主知识产权可言,因为系统中的关键性的器件往往并非出自设计者之手,这将导致该系统在许多情况下的应用直接受到限制。基于EDA技术的设计则不同,由于用HDL表达的成功的专用功能设计在实现目标方面有很大的可选性,它既可以用不同来源的通用FPGA/CPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权,再无受制于人之虞。

(6)EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变;它的开发工具是规范化的,EDA软件平台支持任何标准化的设计语言;它的设计成果是通用性的,IP核具有规范的接口协议。良好的可移植与可测试性,为系统开发提供了可靠的保证。

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

(7)从电子设计方法学来看,EDA技术最大的优势就是能将所有设计环节纳入统一的自顶向下的设计方案中[1]。

2.1.3 EDA技术的发展趋势

从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PCB制板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要引进和学习一些最新的EDA技术[1]。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方面发展[2]。

外设技术与EDA工程相结合的市场前景空间较好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。

中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

而在EDA软件开发方面,目前主要集中在美国,其努力开发相应的工具。日本、韩国也都有ASIC 设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具在各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,其增长率分别达到了50%和30%[1]。

2.2硬件描述语言——VHDL语言

VHDL语言的英文全名为Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。VHDL主要用于描述数字系统的结构,行为,功能和接口。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。同时,它也具备了以下几方面的特点:

(1)VHDL语言功能强大,设计方式多样

(2)VHDL语言具有强大的硬件描述能力

(3)VHDL语言具有很强的移植能力

(4)VHDL语言的设计描述与器件无关

(5)VHDL语言程序易于共享和复用

由于VHDL语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言,因此它可以使设计成果在设计人员之间方便地进行交流和共享,从而减小硬件电路设计的工作量,缩短开发周期[5]。

2.3开发软件平台——QuartusⅡ

QuartusⅡ是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL (Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具,简化了设计的复杂性、加快了设计速度。

此外,QuartusⅡ通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台[8]。

2.4时序逻辑电路

时序逻辑电路在逻辑功能上的特点是任意时刻的输出不仅取决于当时的输入信号,而且还取决于电路原来的状态,或者说,还与以前的输入有关,其相当于在组合逻辑的输入端加上了一个反馈输入,在其电路中有一个存储电路,其可以将输出的状态保持住。若用一个简单的函数来表示的话,其输出就是输入及输出前一个时刻的状态的函数,这里引入了现态(Present state)和次态(Next State)的概念,当现态表示现在的状态(通常用Qn来表示),而次态表示输入发生变化后其输出的状态(通常用Qn 1表示),那么输入变化后的输出状态表示为:

Qn 1=f(X,Qn),其中:X为输入变量;

因此,时序逻辑电路其任一时刻的输出不仅取决于该时刻的输入,而且还与过去各时刻的输入有关[7]。常见的时序逻辑电路都有触发器、计数器、寄存器等,从而时序逻辑电路就具有了存储或记忆的功能。

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊

3 交通灯控制器的分析与设计

交通灯控制器是解决交通问题的重要手段之一,尤其五叉路口交通情况有为复杂,为了保证机动车辆的安全行驶,维持城市道路的顺畅,因而需要更完善的交通灯控制器来应对各个类不同的交通情况,并且也需要更人性化的设计。

通过对相关资料的查阅,对类似五叉路口的实地调查、研究,从而设计出基于EDA技术,运用VHDL语言完成的新型交通灯控制器。

3.1五叉路口现状图

五叉路口如图3-1所示。

图3-1 五叉路口

五叉路口中,各路口依次命名为A、B、C、D、E。其中A、B、C、D路口为双向通行路口,E路口为单向通行路口。

3.2交通信号灯配置方案

五叉路口的交通灯控制器,其中信号灯的配置。如下:

在五叉路口(ABCDE)的每一个进口设置两组信号灯,一组面对进口道上的车辆, 称为入口灯。另一组面对该进口道与相邻进口道按逆时针方向之间待转区上行驶的车辆, 称为待转灯。由这两组灯轮流给车辆与环内车辆分配通行权, 使它们有条件以多股车流分时段通过此五叉路口。每组信号灯都为红、黄、绿三色,且都对应设置一组数码管,以倒计时的方式显示允许通过或禁止通行的时间,其中绿灯、黄灯、红灯的具体持续时间转换,见表3-1。

其中,步骤一:A、C间双向通行,C至E单向通行,A至E(左转二次停车),C至B(左转二次

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊停车)。步骤二:步骤一中二次停车的车辆放行(A至E除外),B、D间双向通行,D至A单向通行,B至A(左转二次停车),D至C(左转二次停车)。步骤三:A至E左转放行(注:B至E需进行三次停车)。步骤四:步骤二中二次停车的车辆放行,再按照步骤一的规则,循环进行。

然而,左转至相邻道路(按逆时针方向)的车流,在环状待车区内环行,右转车辆不受信号等控制,但应避让行人。

其中信号灯名称设定,

路口A的两组信号灯分别为:入口灯(A)、待转灯(a);

路口B的两组信号灯分别为:入口灯(B)、待转灯(b);

路口C的两组信号灯分别为:入口灯(C)、待转灯(c);

路口D的两组信号灯分别为:入口灯(D)、待转灯(d);

信号灯亮灯规则为:①入口灯(A)、入口灯(C)、待转灯(b)和待转灯(d)的状态对应相同;

②入口灯(B)、入口灯(D)和待转灯(c)的状态对应相同;③待转灯(a)单独处理,与入口灯(D)的黄绿状态不同时发生;④对于①②中的信号灯,黄绿状态也不同时发生。

关于五叉路口各信号灯转换情况,如表3-1所示。

表3-1 五叉路口各信号灯计数时间状态转换表

A路口B路口C路口D路口时间/s 入口灯(A)绿灯亮,

待转灯(a)红灯亮

入口灯(B)红灯亮,

待转灯(b)绿灯亮

入口灯(C)绿灯亮,

待转灯(c)红灯亮

入口灯(D)红灯亮,

待转灯(d)绿灯亮

20

入口灯(A)黄灯亮,

待转灯(a)红灯亮

入口灯(B)红灯亮,

待转灯(b)黄灯亮

入口灯(C)黄灯亮,

待转灯(c)红灯亮

入口灯(D)红灯亮,

待转灯(d)黄灯亮

5

入口灯(A)红灯亮,

待转灯(a)绿灯亮

入口灯(B)红灯亮,

待转灯(b)红灯亮

入口灯(C)红灯亮,

待转灯(c)红灯亮

入口灯(D)红灯亮,

待转灯(d)红灯亮

10

入口灯(A)红灯亮,

待转灯(a)黄灯亮

入口灯(B)红灯亮,

待转灯(b)红灯亮

入口灯(C)红灯亮,

待转灯(c)红灯亮

入口灯(D)红灯亮,

待转灯(d)红灯亮

5

入口灯(A)红灯亮,

待转灯(a)红灯亮

入口灯(B)绿灯亮,

待转灯(b)红灯亮

入口灯(C)红灯亮,

待转灯(c)绿灯亮

入口灯(D)绿灯亮,

待转灯(d)红灯亮

20

入口灯(A)红灯亮,

待转灯(a)红灯亮

入口灯(B)黄灯亮,

待转灯(b)红灯亮

入口灯(C)红灯亮,

待转灯(c)黄灯亮

入口灯(D)黄灯亮,

待转灯(d)红灯亮

5

当各条路中任意一条上出现特殊情况,例如消防车、救护车或其他需要优先放行的车辆时,各方向上均为红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。

┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊3.3交通灯控制器设计总框图

根据五叉路口的实际情况要求,首先,设计出简易总框图,

如图3-2所示。

图3-2 简易总框图

其中,

模块FEN和模块FEN2起到分频的作用,从而提供稳定的时钟信号;

模块XIAO起到消抖同步的作用,且它的输入输出都为正脉冲;

模块AN实现紧急情况与正常情况的转换作用;

模块CONA、模块CONB和模块CONC均为交通信号灯模块,实现三种颜色信号灯的交替点亮和相应时间的倒计时的作用;

模块SEL1和模块SEL2产生片选信号,起到片选的作用;

模块CH61A和模块CH61B是信号六选一模块,与片选信号一起作用,将不同数码管要显示的数据在与片选信号相同的时间送到端口;

模块DISPA和模块DISPB均为七段数码管显示模块,实现十进制数转换为七段数码管数据的作用。

而在QuartusⅡ软件设计平台上,通过模块化设计方法,设计出具体的五叉路口交通灯控制器的总框图。

毕业论文交通灯

1.引言 现代社会,红绿灯被安装在各个路口上,已经成为疏导行驶车辆最常见和最有效的方法和手段。据调查显示,这一技术在19世纪就已出现了。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 1.1交通信号灯应用现状 随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题。自改革开放以来,我国的城市规模和经济建设都有了飞速的发展,城市化进程在逐步加快,城市人口在急剧增加,车辆也越来越多,大量流动人口涌进城市,人员出行和物资交流频繁,随之而来的交通事故也发生地更加频繁,使城市交通面临着严峻的局势。当前,全国大中城市普遍存在着道路拥挤、车辆堵塞矛盾,使原来不太突出的交通问题被提上了日程。 信号灯的出现,虽然使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有了明显效果。同时随着人民的生活水平日渐提高,越来越多的汽车进入寻常老百姓的家庭,再加上政府大力发展的公交、出租车,车辆越来越多了。这不仅要求道路要越来越宽阔,而且要求有新的交通管理模式的出台。旧有的交通控制系统的弊病和人们越来越高的要求激化了市交通系统的管理机制不适应,使得对交通灯控制要求也就越来越高,交通灯的设计有很多实现的方法。从交通灯的重要性看来,交通灯工作一定要是稳定可靠的。如今红绿交通信号灯作为交管部门管理交通的重要工具之一,如何提供一个高效率的交通控制系统有着明显的必要性。 目前设计交通灯的方案有很多,有应用CPLD设计实现交通信号灯控制器方法;有应用PLC实现对交通灯控制系统的设计;有应用单片机实现对交通信号灯设计的方法。国内的交通灯一般设在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。本系统就是在现有的基础上外加一些辅助功能,从而使交通信号倒计时系统功能更加完善、使用更加灵活。

基于PLC交通灯控制系统设计论文

目录 第一章绪论 (1) 1.1 交通灯的发展史 (1) 1.2 我国城市交通的发展现状 (2) 第二章 PLC的基础知识 (3) 2.1 PLC的由来 (3) 2.2 PLC的结构 (4) 2.3 PLC的特点和功能 (5) 2.3.1 PLC的特点 (5) 2.3.2 PLC的功能 (6) 2.4 可编程控制器的分类、应用及发展 (6) 2.4.1 可编程控制器的分类 (6) 2.4.2 可编程控制器的应用 (7) 2.4.3 可编程控制器的发展方向 (7) 2.5 PLC的工作原理 (8) 2.5.1 工作原理 (8) 2.5.2 PLC的工作过程 (9) 2.6 PLC系统设计的一般步骤 (10) 2.7 PLC硬件系统设计方法 (12) 2.7.1 应用系统总体方案设计 (12) 2.7.2 系统硬件设计根据 (12) 2.7.3 可编程序控制器的机型选择 (12) 2.7.4 输入/输出模板的选择 (13) 2.7.5 系统硬件设计文件 (13) 2.7.6 系统供电设计 (13) 第三章PLC编程软件CX-Programmer的使用简介 (14) 3.1 CX-P编程软件的主要功能 (14) 3.2 CX-P编程软件的使用: (15)

3.2.1 绘制梯形图 (15) 3.2.2 程序的检查和编译 (20) 3.2.3下载程序 (21) 3.2.4 程序的调试及监控 (21) 3.2.5 主要按钮图标 (22) 第四章倒计时计数功能及其实现 (23) 4.1 简述 (23) 4.2 数码显示管 (23) 4.2.1 数码管的分类 (23) 4.2.2 数码管引脚图 (24) 4.2.3 数码管的驱动方式 (24) 4.2.4 数码管参数 (25) 4.2.5 恒流驱动与非恒流驱动对数码管的影响 (25) 第五章基于PLC的交通灯控制系统设计 (27) 5.1 控制要求: (27) 5.2 I/O分配表 (27) 5.3 交通灯I/O分布图 (28) 5.4 交通灯工作流程图 (29) 5.5 交通灯工作时序图 (30) 5.6 梯形图 (31) 第六章总结与展望 (36) 6.1 总结 (36) 6.2 展望 (36) 致谢 (37) 参考文献 (38) 附录A: (39) 附录B: (41)

(完整版)基于单片机的十字路口交通灯设计毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编辑。 摘要 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MCS-51系列单片机AT89C51为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P3口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过P1口输出,显示时间通过P0口输出至双位数码管)。本系统设计周期短、可靠性高、实用性强、操作简单、维护方便、扩展功能强。 关键词:单片机;交通灯 Abstract In recent years, with the rapid development of science and technology, the application of SCM is going deep, driving the traditional detection techniques to renew day by day. In the real-time examination and in the automatic control monolithic

integrated circuit application system, the monolithic integrated circuit often took a core part uses. The monolithic integrated circuit aspect knowledge is only insufficient, but should also act according to the concrete , to be improved. The intersection vehicles shuttle, the pedestrian is bustling, car dealership traffic lane, person sidewalk, methodical. Then depending on what to realizes this orderly order? the traffic lights on the automatic control system. There are great number kinds of modes to control the traffic lights. The system uses a series of MCS-51 as the center AT89C51 single-chip device designed to control the traffic lights, so as to realize the function of setting red, green light time by 8051 chip’s P3 port according to the actual traffic flows, lighting the red-light and green-light by turn and lighting the yellow-light to warm while 5 seconds left(outputting the traffic light signal by P1,outpuing the time by P0 and showing the time on double-digits nixie tube). Short of the design cycle, , easy maintenance, the expansion of powerful is this system. Key words:SCM; MCU; traffic light 目录 中英文摘要························1 设计要求·························2设计目的·························3 方案比较、设计与论证···················

交通灯毕业设计

江西工业工程职业技术学院 毕业论文题目交通灯毕业设计 学生姓名 指导教师 院系机电工程系 专业矿山机电 级别2013届 学号 江西工业工程职业技术学院

前言 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进 行统一调度管理,可缩短车辆通行等候时间,实现科学化管理.

前言------------------------------------------------------------------------2 第一章PLC的特点及应用--------------------------------------------------------4 1.1 概述 (4) 1.2 PLC的特点 (4) 1.3 PLC的应用 (4) 第二章PLC的结构及原理--------------------------------------------------------7 2.1 PLC的分类 (7) 2.2 PLC的结构 (7) 2.3 PLC的工作原理 (7) 2.4 PLC汇编语言 (8) 2.5 PLC的基本指令 (9) 2.6 PLC交通灯毕业设计编程器件 (13) 第三章梯形图的设计与编程方法------------------------------------------------14 3.1 控制要求 (14) 3.2 控制时序 (14) 3.3 PLC交通灯毕业设计硬件及外围元器件 (15) 第四章程序设-------------------------------------------------------------17 4.1 PLC交通灯毕业设计梯形图 (17) 4.2 PLC交通灯毕业设计指令图 (19) 4.3 软件设计 (23) 第五PLC交通灯毕业论文设计总结---------------------------------------------- 24 谢辞-----------------------------------------------------------------------25 参考文献---------------------------------------------------------------------26

交通灯设计 毕业论文

1 绪论 今天,红绿灯安装在各个路口上,已经成为指挥交通车辆最普遍的交通技术。但是这种技术早在19世纪就已经出现了。 世界上最早的交通信号灯出现于1858年,在英国伦敦道口上安装了以燃煤气为光源的红,蓝两色的机械扳手式交通信号灯,用以指挥车辆的通行。接着1868年,在英国伦敦威斯敏斯特区的议会大厦前的广场上,出现了世界上最早的煤气红绿灯。再到20世纪初,美国出现了以电气启动的红绿灯,这种红绿灯由红黄绿三种颜色圆形的投光器组成。红灯亮表示禁止车辆通行,绿灯亮表示允许车辆通行,黄灯闪烁表示警告。到了20世纪中期相继出现了带有各种红外线的红绿灯、压力探测红绿灯、扩音器红绿灯等各种交通信号灯。 交通信号灯的出现,使交通规则得到了很大的改善,对于车辆的管理和通行,交通事故的发生得到了明显的减少。1968年,联合国《道路交通和道路标志信号协定》对各种交通信号灯做了明确的规定。绿灯表示通行,在绿灯下,车辆向相应的方向行驶,除非另一种标志禁止某一种转向。红灯表示禁止,在红灯下,车辆必须在相应的停车线后停车。黄灯表示警告,在黄灯下,已经穿越停车线的车辆和行人应继续向前,而为超出停车线的车辆在停车线后等待。对于左转和右转的车辆在通过道口时,应先让在道口上行驶的车辆或者人行道行走的行人优先通行。 随着经济的快速发展,交通运输中出现了一些传统方法难以解决的问题。如:道路拥堵、塞车、交通事故等现象越来越严重,直接造成的经济损失也越来越大,而且还在以一定的速率在不断的增长。由于人民生活水平的提高,人们对交通安全也提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,提高交通的管理质量和服务要求,同时也提高了交通运输的安全性。并在一定程度上尽可能的降低由道路拥堵造成的经济损失,同时也大大的降低了人力资源的消耗。 中国是世界人口大国,而中国的车辆也在不断的增加,交通灯的管理控制更是起着重要的作用,而智能交通灯的出现更是发挥了他举足轻重的低位。它不仅可以替代了更多的人力资源,从而也带来了更多的经济和社会效益,为创造美好城市发挥着更大的作用。

智能交通灯的毕业设计

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

基于单片机的交通灯显示系统的设计与研究课程设计

课程设计 2016年03月20日 毕业设计(论文)原创性声明和使用授权说明

原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

单片机为核心的智能交通灯控制系统设计毕业论文

单片机为核心的智能交通灯控制系统设计毕业论文 目录 1 概述 (1) 1.1城市交通的作用 (1) 1.2国外交通系统发展现状 (2) 1.3我国交通中存在的主要问题 (2) 1.4城市交通解决的主要途径 (3) 1.5论文研究的主要容 (4) 1.6系统的主要特点 (4) 2 交通信号控制系统的研究 (5) 2.1城市交通控制系统概述 (5) 2.2交通规则介绍 (5) 2.3常用交通标志简介 (6) 2.4交通信号控制硬件设备简介 (7) 2.5交通信号控制系统信息传输系统简介 (7) 2.6信号控制方式的分类 (7) 2.7交通信号控制原理 (8) 3 交通控制方案设计 (9) 3.1系统设计目的 (9) 3.2技术框架 (9) 3.3十字路口交通信号相位设置 (10) 3.4不同相位配时方案 (10) 3.5交通信号灯的控制方法 (11) 3.5.1 定时控制 (11) 3.5.2 感应控制 (11) 3.6系统控制方案 (11) 3.6.1感应—定时信号控制方案 (11) 3.7总体方案设计 (12) 3.8十字路口交通信号亮灯的顺序设定 (15)

4 控制系统硬件设计 (16) 4.1硬件系统设计的总体要求 (16) 4.2系统的组成 (16) 4.3该系统主要硬件 (16) 4.3.1 主要芯片的性能介绍 (16) 4.3.2 整个系统的组成框图 (21) 4.3.3 交通灯CPU主控和存储部分系统原理框图 (22) 4.4驱动电路的设计 (22) 4.5键盘及显示电路 (23) 4.6时钟电路的设计 (25) 4.7车辆检测 (27) 4.10串行通信接口的设计 (32) 5 软件设计 (34) 5.1交通控制设计主要满足以下功能 (34) 5.2系统模块组成 (34) 5.3主要程序流程框图 (34) 致谢 (43) 参考文献 (44) 附录一 (45) 附录二 (59)

交通灯控制系统毕业论文

基于单片机的交通灯设计

摘要: 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 关键词: 单片机交通灯闯红灯检测车流量 1 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少

基于plc的智能交通灯控制系统设计毕业论文

基于plc的智能交通灯控制系统设计毕业论文

二○一三届学生毕业论文(设计)存档编号:__________ 江汉大学 毕业论文(设计) 论文题目智能交通灯设计 (英文) the design of intelligent traffic lights 学院: 专业: 姓名: 学号: 指导教师: 2013年05月26日

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM 将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 关键字:交通灯 PLC 通讯科学管理渐进式

Abstract The programmable preface in PLC controller is a kind of new industry controls the device,it regard microprocessor as the foundation, synthesizing the calculator technique, automatic control technique to develop with the communication technique .It has the construction simple, the plait distance is convenient, high etc. in dependable advantage, already extensive used for the industry process with the automatic control of the position inside.According to the covariance, the programmable controller is a kind of equipments that industry automate to equip the inside the application at most.The expert thinks, the programmable controller will become the main means that aftertime industry control with the one of the important foundation equipmentses, the PLC, robot, CAD/ CAM will become three major of pillar that industry produce.Because the PLC has to the strong characteristic in adaptability in environment in usage, its inner part settles at the same time the machine resources is abundant very, can to current widespread usage of" enter the type gradually" the signal beacon proceeds the precision controls, special the oscular control in a many branch roads can realizes expediently.So that is applied the PLC more and more now in transportation light system inside.At the same time, PLC still have the communication internet function, constitute the same of the signal beacon on the road a the bureau area net proceeds to unify to adjust a management, can shorten the vehicle go through waiting time, realizing scientific management. Keywords: The transportation light, PLC , Communication,scientific management,enter the type gradually

交通灯控制系统毕业论文设计

交通灯控制系统 毕业院校:河南农业职业学院系别:电子信息工程系专业班级:电气自动化09-2班姓名:尤勇斐指导老师:王海娜杨彩红

摘要 本文设计主要研究最为典型的二车道的交通灯自动控制系统,它由晶振模块,定时模块,三色灯控制模块组成,并且利用三色灯(红,黄,绿)的指示和倒计时显示来实现功能,在设计中主要开放了了外部中断EX0。一般情况下交通路口中的突发事件包括闯红灯和救护车通过。对于外部的所有突发事件都可以当中断处理。 关键词:PROTEUS; 80C51;三色灯;LED数码显示

目录 1 绪论 (1) 2 总体设计思路、基本原理和框图 2.1 设计思路 (2) 2.2 基本原理 (3) 2.3 总体设计框图 (3) 3 交通灯自动控制电路硬件设计 3.1交通灯自动控制实现 (4) 3.2主要元器件选择 (4) 3.3交通路口模型 (5) 3.4总电路图 (5) 4 交通灯自动控制电路软件设计 4. 1 单片机中断系统基本结构 (6) 4. 2 每秒钟的设定 (7) 4. 3 计数器初值计算 (7) 4. 4 显示原理 (7) 5 系统仿真 (8) 6 总结与体会 (11) 参考文献

1 绪论 作为一种交通规则的指示,交通灯它起着及其重要的作用。从最初的单车道到现在的四车道八车道等,交通指示的自动控制也越来越完善。它不再仅仅拥有交通指示的作用,还有其它特殊情况的处理,比如对闯红灯的肇事者进行的监督,紧急救护车的通过时保持道路畅通,等等都需要非常的处理,这也是对交通灯功能的新要求。而且,也从最初的只有红,黄,绿三种灯的指示到现在的倒计时电子显示,让人们从单一的信号判别到时间的准确明了有了进一步认知。这都表明交通灯的研究还具有它实际的意义。 2总体设计思路、基本原理和框图 2.1 设计思路 交通灯的自动控制系统的主要功能包括:普通交通灯的显示、LED倒计时显示、突发事件的外部处理和交通路口的模拟。本设计的控制系统由以下电路模块组成: 振荡器和时钟电路:这部分电路主要由80C51单片机和一些电容、晶振组成。 设计控制部分:主要由80C51单片机的外部中断电路组成。 设计显示部分:LED数码显示部分。LED数码显示部分由七段数码显示管组成。 2.2 基本原理 主体电路:交通灯自动控制模块。这部分电路主要由80C51单片机的I/O端口、定时计数器、外部中断扩展等组成。 本设计先是从普通三色灯的指示开始进行设计,用P1口作为输出。程序的初始化是东西南北方向的红灯全亮。然后南北方向红灯亮,东西方向绿灯亮,60秒后东西方向黄灯闪亮5秒后南北方向绿灯亮,东西方向红灯亮。重复执行。倒计时用到定时器T0,用P2口作为LED的显示。二位一体的LED重复执行60秒的倒计时。作为突发事件的处理,本设计主要用到外部中断EX0。用一模拟开关作为中断信号。实际中可以接其它可以产生中断信号的信号源。

(完整版)PLC交通灯毕业设计论文

题目:十字路口交通灯的设计与调 试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征

2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩 短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录 第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,

是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产; 1.3 PLC的应用 目前,PLC在国内外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保及文化娱乐等各个行业,使用情况大致可归纳为如下几类。

交通灯毕业论文

目录 前言: (1) 一、设计任务: (2) 二、题目分析与整体构思: (2) 三、硬件电路设计: (3) 四、程序设计: (7) 五、心得体会: (20) 六、设计创新: (20) 七、参考文献: (20)

前言 伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。

一﹑设计任务

设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。 二、题目分析与整体构思 (1)该交通灯控制器应具备的功能 设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同, 定为红灯45sec,黄灯5sec,绿灯40sec,同时用数码管指示当前状态(红、 黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个 方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。 (2) 实现方案 一从题目中计数值与交通灯的亮灭的关系如图(1)所示

基于PLC交通灯控制毕业论文

文档从互联网中收集,已重新修正排版,word格式支持编辑,如有帮助欢迎下载支持。 扬州大学 毕业设计 题目基于PLC交通灯控制 系别 专业 班级 姓名孙行知 学号 指导教师 日期 2017年3月

设计任务书 设计题目: 基于PLC的交通灯控制 设计要求: 设计一个用PLC控制的十字路口交通灯的控制系统,其控制要求如下: 按一下启动按钮,信号灯系统按图所示要求开始工作,按一下停止按钮,所有信号灯熄灭,如图1.0所示。 图1.0 交通灯自动运行的动作要求 设计进度要求: 第一周:选定毕业设计题目; 第二周:收集和查找相关资料; 第三周:硬件的分析和设计; 第四周:软件的分析和编译程序; 第五周:上机调试并验证结果; 第六周:撰写毕业设计论文; 第七周:定稿毕业设计论文; 第八周:准备毕业答辩。 指导教师(签名):

摘要 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。为了实现交通道路的管理,力求交通管理先进性、科学化。 用可编程控制器实现交通管制的控制系统,以及该系统软、硬件设计方法,实验证明该系统实现简单、经济,能够有效地疏导交通,提高交通路口的通行能力。分析了现代城市交通控制与管理问题的现状,结合交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统应用于交通管理中,随着科技的发展,可编程控制器的功能日益完善,加上小型化、价格低、可靠性高,在现代工业中的作用更加突出特别是由PLC实现的控制系统,普遍采用依据继电接触器控制系统电气原理图编制的梯形图语言进行程序设计,结构简单,抗干扰能力强,运行稳定可靠,可方便地设置定时时间,编程容易,功能扩展方便,修改灵活等,并且有完善的自诊断和显示功能,维修工作极为简单因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 关键词:交通灯,PLC,程序,设计

交通灯控制系统毕业设计论文

目录 第一章前言 1.1 课题背景 1.2 研究目的和意义 第二章可编程程序控制器(PLC) 2.1 PLC概述 2.1.1 PLC的发展历程 2.1.2 PLC的发展趋势 2.1.3 PLC的应用 2.2 PLC的硬件结构 2.3 PLC的工作原理 2.4 本章小结 第三章系统设计 3.1控制要求 3.2系统设计方案分析 3.3硬件设计 3.3.1 PLC的选型 3.3.2 PLC的地址分配 3.3.3 PLC的接线形式 3.4 系统程序设计 3.4.1系统的梯形图 3.4.2语句表

3.4.3系统程序分析 3.5 本章小结 第四章系统检测与调试 4.1检测与调试 4.2本章小结 结论 致谢 参考文献 附录1 S7-200PLC的CPU的I/O规范附录2 S7-200PLC的CPU的输入规范 附录3 S7-200PLC的CPU的输出规范

第一章前言 1.1课题背景 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,绿两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。1914年,电气启动的红绿灯出现在美国。这种红绿灯由红绿黄三色圆形的投光器组成,安装在纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 1.2研究目的和意义 在十字路口设置交通灯可以对交通进行有效的疏通,并为交通参与者的安全提供了强有力的保障。但是随着社会、经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。传统的十字路口交通控制灯,通常的做法是:事先经过车辆流量的调查,运用统计的方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排 1

相关文档
最新文档