MAX+PLUS II 使用指南

第三章MAX+PLUS II 使用指南

世界上各大可编程逻辑器件的生产厂商都有各自的EDA开发系统,比如Lattic公司的Synario;Xilinx公司的Foudation等,本章选取美国Altera公司的MAX+PLUS II,介绍如何利用它进行PLD设计。

MAX+PLUS II 开发系统是美国Altera公司自行设计的CAE软件平台,具有易学、易掌握的特点。MAX+PLUS II 的全称为Multiple Array Matrix and Programmable Logic User System,可安装在具有Windows 95/98或Windows NT4.0的PC机上,要求硬件至少具备16M内存、80M硬盘。

在MAX+PLUS II软件平台上利用PLD来设计系统可通过三大步骤来完成,即设计项目的输入、设计项目的验证和设计项目的处理。为了形象、有效、快速地掌握Max+plus II 的使用方法,本章通过一四位二进制加法器的设计实例来介绍整个设计的详细过程。

实例中所用的芯片是Altera公司可编程逻辑器件EPF10K10LC84-3,读者可使用EDA 实验开发系统来演示设计的结果。

3.1 设计项目的输入

MAX+PLUS II 的输入方法有四种:文本输入、波形输入、图形输入、符号输入。我们在此以文本输入法为例来实现四位二进制加法器的设计,其余的输入设计法在后面另做介绍。

下面介绍具体设计步骤:

3.1. 1 文本输入

一.建立一新项目:

图3-1

1.启动MAX+plus II :在WINDOWS98界面中,单击开始—程序—MAX+plus II—MAX+plusII8.2,进入MAX+plusII8.2集成环境。

42

2.选中File—Project—Name,显示对话框图3-1。

3.在Project Name框中,键入add4b(此文件名可任取,但必须与源程序中的实体名字相同)。若想改变add4b所属目录,用户可在Directories窗口中修改。

4.选择OK,则MAX+plus II 的标题条会变成新的项目名字:

MAX+plus Manager-d:\lrc\add4b。

二.建立一VHDL文本输入文件:

下面我们介绍如何建立一个名为add4b.vhd的四位二进制加法器,输入方法为VHDL 文本输入。

1.在文件菜单中,选中File-New,出现图3-2对话框,选择对话框中的Text Editor File。

图3-2

2.选择OK,则出现一个无名称的文本编辑窗口。

3.在无名称的编辑窗口中输入以下源程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_signed.all;

entity add4b is

port(

a : in std_logic_vector(3 downto 0);

b :in std_logic_vector(3 downto 0);

cin : in std_logic;

c : out std_logic_vector(3 downto 0);

cout: out std_logic

);

end;

architecture one of add4b is

signal crlt : std_logic_vector(4 downto 0);

begin

crlt<=a+b+cin;

43

44 c<=crlt(3 downto 0);

cout<=crlt(4);

end;

4.选择菜单File —save 或save as ,在File Name 中输入文件名add4b.vhd ,并将扩展

名(Automatic Extension)改为 .vhd ,如图3-3。(注意:保存的文件名必须与源程序中的实体名相同,也必须与前面设置的项目名相同)

图3-3

5.选择OK ,此文件名即被保存到当前项目的子目录下。

6.选择菜单File —Project —Save&Check ,检查程序中的语法错误。若设计程序有错,编

译器会自动显示出错信息。从信息处理器(Message Processor )中可以得到相关源程序的出错信息的具体内容。当双击某一条信息时,信息处理器会自动打开包含该条信息来源的文本设计文件,并高亮设计文件中产生错误信息的位置。

7.选择菜单File —Create Default Symbol ,为add4b 创建一个图形符号。此时Max+plus II

会自动调出编译器对add4b.vhd 进行便编译。若编译成功,则自动生成add4b 的图形符号。

至此,利用VHDL 语言设计的四位二进制加法器就完成了。

3.2 设计项目的验证

要验证一设计项目是否按照你的设计要求运行,可以通过模拟这一既省时又省力的方法来完成。模拟允许你在把项目编程到器件之前全面检测项目,以确保它能在各种可能的条件下有正确的响应。

在模拟过程中,需要给MAX+plus II 模拟器提供输入向量,模拟器将利用这些输入信号产生输出信号(与可编程器件在同一条件下产生的相同)。根据你所需信息的种类,可用MAX+plus II

进行功能模拟或时序模拟。功能模拟仅仅测试项目的逻辑功能,而时序

相关文档
最新文档