五角星流水灯

五角星流水灯
五角星流水灯

论文

二 级 学 院 机械与电子信息工程学院

专 业 电子信息工程 年 级 2012级 学 号 1210612001 学 生 姓 名 陈小烨 指 导 教 师 钟华

完 成 时 间

2014年5月20日

五角星流水灯设计

设计原理

近年来,随着电子技术和微型计算机的发展,单片机的档次不断提高,起应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到广泛的应用、成为现代电子系统中最重要的智能化的核心部件。而AT89C52就是其中一种,它是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMO8位微处理器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。随着现代社会的发展,人们越来越追求审美和新颖,而流水灯就是其中一种,以前简单的照明工具变得越来越多样化,流水灯的千姿百态恰能给人一种视觉冲击,现在不管大街小巷我们都可以随处可见这种变幻万千的流水灯,而这种流水灯我们可以产用电子电路去设计,我们主要可以用装套控制器和状态译码器来实现灯光的流水效果,但是现在我们可以用单片机AT89C52来实现,因为其相对于电子电路有明显的优越性,控制硬件电路比较简单,软件方面程序也不复杂,因此制作的远离简单,但功能作用并不低于电子电路设计的,由于它的小巧方便、通俗易懂,所以我们往往采用单片机来做流水灯。

所用元器件

主控芯片STC89C52、电阻、LED灯、排阻、排针、开关、电容、晶振和按键。

系统设计

1.硬件框图

2.选用AT89C52的引脚功能

XTAL1:单芯片系统时钟的反向放大器输入端。

XTAL2:系统时钟的反向放大器输出端,一般在设计上只要在XTAL1和XTAL2上接上一只石英震荡晶体系统就可以工作了,此外可以在两引脚与地之间加入30PF 的小电容,可以使系统更稳定,避免噪音干扰而死机。

RESET :重置引脚,高电平动作,当要对晶体重置时,只要对此引脚电平提升至高电平并保持两个及其周期以上的时间便能完成系统重置的各项动作,使得内部特殊功能寄存器内容均被设成已知状态。

P0:端口0是一个8位宽的开路汲汲双向输出入端口,共有8个位,P0.0表示0,P0.1表示1,以此类推。

P2:端口2是具有内部提升电路的双向I/O 端口,每一个引脚可以推动4个LS 的TTL 负载,若将端口2的输出设为高电平时,此端口便能当成输入端口来使用。

STC 89C52

复位电路

按键

震荡电路

流水灯电路

3.说明

1.复位电路部分

如图所示,当要对晶片重置时,只要按此开关就能完成LED和开关的重置。

2.晶振部分

产生时钟信号

4.电路调试

本设计完成后,采用Keil uVision4软件进行编程和仿真调试,不停进行修改和完善,程序完善后使用STC_ISP_V483下载软件把编译好的程序烧进单片机中进行工作,能达到设想的效果.

5.设计原理图

6.程序。

#include

#define uint unsigned int

#define uchar unsigned char void delayms(uint);

uchar aa,bb,cc,dd;

uint i;

void main()

{

aa=0xfe;

bb=0xfe;

cc=0xfe;

dd=0x7f;

while(1)

{

for(i=0;i<8;i++) //8个流水灯逐个闪动{

P1=aa;

delayms(100); //调用延时函数

aa<<=1; //循环左移

}

for(i=0;i<8;i++)

{ P3=bb;

delayms(100);

bb<<=1;

}

for(i=0;i<8;i++)

{P2=cc;

delayms(100);

cc<<=1;

}

for(i=0;i<8;i++)

{P0=dd;

delayms(100);

dd>>=1; //循环右移

}

}

}

void delayms(uint xms) //调用函数

{

uint i ,j;

for(i=xms;i>0;i--)

for(j=110;j>0;j--);

}

7.总结

通过这次系统性的学习,理论联系了实践,使我懂得做好一个作品不容易,达到创新更不容易,不单单是理解与运用,而是如何运用别人的知识来提升自己。并且,什么事情都不可能自己一个人单枪匹马的完成,必须需要虚心请教别人的指点和帮助。同时,在电子设计领域有了新的认识,也为以后学习单片机打下了基础,总而言之,就是受益匪浅。

基于单片机的LED流水灯设计说明

基于单片机的LED流水灯设计 设计任务 1掌握MCS-51系列8051、8255的最小电路及外围扩展电路的设计方法 2了解单片机数据转换功能及工作过程 3设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示4完成主要功能模块的硬件电路设计 5用proteus软件完成原理电路图的绘制 一设计方法 本课题使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED 的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到“流水”效果了。

二方案论证与比较 2.1循环移位法 在上个程序中我们是逐个控制P1端口的每个位来实现的,因此程序显得有点复杂,下面我们利用循环移位指令,采用循环程序结构进行编程。我们在程序一开始就给P1口送一个数,这个数本身就让P1.0先低,其他位为高,然后延时一段时间,再让这个数据向高位移动,然后再输出至P1口,这样就实现“流水”效果啦。由于8051系列单片机的指令中只有对累加器ACC中数据左移或右移的指令,因此实际编程中我们应把需移动的数据先放到ACC中,让其移动,然后将ACC移动后的数据再转送到P1口,这样同样可以实现“流水”效果。具体编程如下所示,程序结构确实简单了很多。 2.2查表法 上面的两个程序都是比较简单的流水灯程序,“流水”花样只能实现单一的“从左到右”流方式。运用查表法所编写的流水灯程序,能够实现任意方式流水,而且流水花样无限,只要更改流水花样数据表的流水数据就可以随意添加或改变流水花样,真正实现随心所欲的流水灯效果。我们首先把要显示流水花样的数据建在一个以TAB为标号的数据表中,然后通过查表指令“MOVC A,A+DPTR”把数据取到累加器A中,然后再送到P1口进行显示。具体源程序如下,TAB标号处的数据表可以根据实现效果的要求任意修改。

三极管流水灯电路设计

三极管流水灯电路设计 王雅 20111041105;韦梦娜 20111041107 摘要:3组12只LED流水灯是特别针对电子装配与调试技能设计出来的,值得学习和电路分析。本文分析了该流水灯电路的特点及其电路工作原理的说明。 关键字:3组12只LED流水灯;电路设计;循环。 1 引言 随着科学技术的发展,电力电子设备与人们的工作、生活的关系日益密切。各种小套件层出不穷,功能多样。本文所设计的电子制作可以说是电子初学者学习电子的最佳入门制作!其制作方式容易,趣味横生,更能提高初学者的动手能力!让初学者在制作学习中感受电子技术带来的乐趣! 2 系统的功能描述 这款3组12只LED流水灯具有制作容易、有趣易学的特点,电路焊接成功后,装入电池,即可正常工作,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。 3 设计原理 3.1 电路工作原理说明: 本电路是由3只三极管组成的循环驱动电路。每当电源接通时,3只三极管会争先导通,但由于元器件存在差异,只会有1只三极管最先导通。这里假设V1最先导通,则V1集电极电压下降,使得电容C2的左端下降,接近0V。由于电容两端的电压不能突变,因此此时V2的基极也被拉到近似0V,V2截止,V2的集电极为高电压,故接在它上面的发光二极管LED5-LED8被点亮。此时V2的高电压通过电容C3使V3基极电压升高,V3也将迅速导通,因此在这段时间里,V1、V3的集电极均为低电压,因此只有LED5-LED8被点亮,LED1-LED4、LED9-LED12熄灭。但随着电源通过电阻R3对C2的充电,V2的基极电压逐渐升高,当超过0.7V时,V2由截止状态变为导通状态,集电极电压下降,LED5-LED8熄灭。与此同时,V2的集电极下降的电压通过电容C3使V3的基极电压也降低,V3由导通变为截止,V3的集电极电压升高,LED9-LED12被点亮。接下来,电路按照上面叙述的过程循环,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。改变电容C1、C2、C3的容量可以改变循环速度,容量越小,循环速度越快。电源使用2节5号干电池即可。 3.2元件清单: 3.3 电路图

流水灯设计

新能源与动力工程学院课程设计报告 单片机系统课程设计 专业: 班级: 姓名: 学号: 指导教师: 2014年7 月

新能源与动力工程学院课程设计任务书课程名称:单片机系统课程设计指导教师(签名): 班级:姓名:学号:

指导教师评语及成绩评定表 指导教师签字: 年月日

目录 绪论 ....................................................................................................................... - 1 -1 引言 ....................................................................................................................... - 1 - 1.1设计任务 .................................................................................................... - 2 - 1.2设计意义 .................................................................................................... - 2 - 1.3设计思路 .................................................................................................... - 2 - 1.4设计目的 .................................................................................................... - 2 - 2 总体设计 ............................................................................................................... - 3 - 2.1系统框图 .................................................................................................... - 3 -3设计步骤 ................................................................................................................ - 3 - 3.1 STC89C52简介 ......................................................................................... - 3 - 3.2硬件设计 .................................................................................................... - 5 - 3.3单片机时钟电路 ........................................................................................ - 5 - 3.4复位电路 .................................................................................................... - 5 - 3.5工作电路 .................................................................................................... - 6 - 4 软件设计 ............................................................................................................... - 6 - 4.1设计要求 .................................................................................................... - 6 - 4.2软件的流程图 ............................................................................................ - 7 - 4.3程序代码 .................................................................................................... - 7 - 5 软件调试 ............................................................................................................... - 9 - 6 总结 ....................................................................................................................... - 9 - 7 心得体会 ............................................................................................................... - 9 -参考文献 ................................................................................................................. - 10 -附录1 元件清单 .................................................................................................... - 11 -

流水灯电路的制作

流水灯电路的制作 一、概述: 随着电子技术的快速发展尤其是数字技术的突飞猛进,多功能流水灯凭着简易,高效,稳定等特点得到普遍的应用。在各种娱乐场所、店铺门面装饰、家居装潢、城市墙壁更是随处可见,与此同时,还有一些城市采用不同的流水灯打造属于自己的城市文明,塑造自己的城市魅力。目前,多功能流水灯的种类已有数十种,如家居装饰灯、店铺招牌灯等等。所以,多功能流水灯的设计具有相当的代表性。 多功能流水灯,就是要具有一定的变化各种图案的功能,主要考察了数字电路中一些编码译码、计数器原理,555定时器构成时基电路,给其他的电路提供时序脉冲,制作过程中需要了解相关芯片(NE555、CD4017)的具体功能,引脚图,真值表,认真布局,在连接过程中更要细致耐心。 二、电路原理图 三、电路工作原理 多功能流水灯原理电路图如上图所示。原理电路图由振荡电路、译码电路和光源电路三部分组成。本文选用的脉冲发生器是由NE555与R2、R3及C1组成的多谐振荡器组成。主要是为灯光流动控制器提供流动控制的脉冲,灯光的流动速度可以通过电位器R3进行调节。由于R3的阻值较大,所以有较大的速度调节范围。灯光流动控制器由一个十进制计数脉冲分配器CD4017和若干电阻组成。 CD4017的CP端受脉冲发生器输出脉冲的控制,其输出端(Q0~Q9)将输入脉冲按输入顺序依次分配。输出控制的脉冲,其输出控制脉冲的速度由脉冲发生器输出的脉冲频率决定。10

个电阻与CD4017的10个输出端Q0~Q9相连,当Q0~Q9依次输出控制脉冲时10个发光二极管按照接通回路的顺序依次发光,形成流动发光状态,即实现正向流水和逆向流水的功能。电源电路所采用的电源为。 四、板的设计 五、元器件清单 六、电路的组装与调试 1、电路的组装方法和步骤 (1)筛选元器件。对所有购置的元器件进行检测,注意它们的型号、规格、极性,应该保质量。 (2)按草图在PCB板上组装并焊接。 要求:①元器件布局整齐、美观,同类型元器件高度一致;

花样流水灯设计

单片机课程设计 2014年 6月 15日 课 程 单片机课程设计 题 目 花样流水灯 院 系 电气工程及其自动化系 专业班级 1112班 学生姓名 温亿锋 学生学号 201111631227 指导教师 张瑛

一丶任务 设计一款以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路。 二丶设计要求 通过发光二极管显示不同的花样(至少有六种花样),并且可以通过按键来控制流水灯的速度。 三丶设计方案 本方案主要是通过对基于单片机的多控制、多闪烁方式的LED流水灯循环系统的设计,来达到本设计的要求。其硬件构成框图如下图所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED 发光二极管和5V直流电源组成。 单片机流水灯循环控制系统硬件框图 此设计方案中单片机的P1口接5路按键控制电路,实现流水灯花型的切换功能;单片机的P3.7引脚接上一个按钮开关以实现对流水灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接八路LED发光二极管组成流水灯电路,显示流水灯循环情况。 四丶系统硬件设计 4.1 直流稳压电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分。本项目直流稳压电源为+5V。 直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳压电源、线性集成稳压电源和开关稳压电源。下图为稳压电源采用的是三端集成稳压器7805构成的正5V直流电源。 三端固定式集成稳压电源电路图 AT89C51单片机的工作电压范围:4.0V---5.5V,所以通常给单片机外接5V 直流电源。此处用3节1.5V的干电池供电。 4.2 单片机最小系统 要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复位电路等组成,单片机最小系统如图所示。 时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz 石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。 复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单片机系统的复位方式有上电自动复位和手动按键复位。本设计采用手动按键复位,该复位方式同样具有上电自动复位功能。

七彩心形流水灯设计说明书

涸洲湾职业技术学院 七彩心形流水灯说明书 别: 级: 专业: _______ 学号: _______ 职称: 实验师 班 姓名: 导师姓名

2016年11月20日 目录 1.前言.............................................. 错误!未定义书签。 2.系统设计技术参数要求............................... 错误!未定义书签。 3.系统设计........................................... 错误!未定义书签。 3.1系统设计总体框图.............................. 错误!未定义书签。 3.2各模块原理说明................................ 错误!未定义书签。 3.3系统总原理阁说明.............................. 错误!未定义书签。 3.4系统印刷电路板的制作图........................ 错误!未定义书签。 3.5系统的操作说明................................ 错误!未定义书签。 3.6系统操作注意事项.............................. 错误!未定义书签。 系统设计参考文件................................. 错误!未定义书签。 致谢词........................................... 错误!未定义书签。 附录............................................. 错误!未定义书签。

流水灯电路

流水灯电路的制作与测试 【知识目标】 ●理解时序逻辑电路的基本概念及分类。 ●掌握同步和异步时序逻辑电路的分析方法。 ●理解计数器的逻辑功能及原理。 ●掌握寄存器电路的基本工作原理,理解移位寄存器的逻辑功能。 【技能目标】 ●能用触发器制作与调试各种同步计数器。 ●能用集成计数器制作任意进制的计数器。 ●熟悉集成移位寄存器逻辑功能和各控制端的作用,能构成实用电路。 ●多种方法实现流水灯电路,且进一步完善流水灯功能。 任务一用移位寄存器构成流水灯电路 一、分析任务 在一些数字系统中,有时需要系统按照事先规定的顺序进行一系列的操作。这就要求系统的控制部分能给出一组在时间上有一定先后顺序的脉冲,再用这组脉冲形成所需要的各种控制信号。 二、相关知识 在数字系统中,常常需要将一些数码、运算结果和指令等暂时存放起来,然后在需要的时候再取出来进行处理或运算。这种能够用于存储少量二进制代码或数据的时序逻辑电路,称为寄存器。 寄存器用于暂时存放二进制代码,它是数字系统中重要的部件之一。寄存器的主要组成部分是具有记忆功能的双稳态触发器。一个触发器可以存储一位二进制代码,所以要存放n位二进制代码,就需要n个触发器。 按照功能的不同,可将寄存器分为数码寄存器和移位寄存器两大类。 1. 数码寄存器 数码寄存器具有寄存数据和清除原有数据的功能。现以集成四位数据寄存器74LSl75来说明数据寄存器的电路结构和功能。74LSl75是用D触发器组成的四

位数据寄存器。它的逻辑图和管脚排列图如图7-11所示。 (a)逻辑图 (b)管脚排列图 图7-11 四位集成数码寄存器74LS175 74LS175的功能表见表7-11,CP 是时钟端,CR 是异步清零端,D 0~D 3是数据输入端,Q 0~Q 3是数据输出端。其功能如下。 表7-11 74LS175的功能表 ①异步清零。只要CR =0,就可使输出端清零,而与时钟无关。清零后,将 CR 接高电平,数据才能正常存人。 ②并行输入/输出。在CR =1的前提下,(将需要存人的四位二进制数据送到数据输入端D 0~D 3),在CP 脉冲上升沿的作用下,将D 0~D 3的数据并行存入Q 0~Q 3,同时也可取出存人的数码的反码。 ③记忆保持。当只CR =1且CP =0时,各触发器保持原状态不变,数据寄存器处于保持状态。 无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP 上升沿到来,加在并行数据输入端的数据D 0~D 3将立即被送入寄存器中,有 32103210Q Q Q Q D D D D

基于51单片机的流水灯设计说明

基于51单片机的流水灯设计 一.基本功能 利用AT89c51作为主控器组成一个LED流水灯系统,实现8个LED 灯的左、右循环显示。 二.硬件设计 图1.总设计图

1.单片机最小系统 1.1选用AT89C51的引脚功能 图2. AT89C51 XTAL1:单芯片系统时钟的反向放大器输入端。 XTAL2:系统时钟的反向放大器输出端,一般在设计上只要在XTAL1和XTAL2上接上一只石英震荡晶体系统就可以工作了,此外可以在两引脚与地之间加入20PF的小电容,可以使系统更稳定,避免噪音干扰而死机。 RESET:重置引脚,高电平动作,当要对晶体重置时,只要对此引脚电平提升至高电平并保持两个及其周期以上的时间便能完成系统重置的各项动作,使得部特殊功能寄存器容均被设成已知状态。 P3:端口3是具有部提升电路的双向I/O端口,通过控制各个端口的高低电平了实现LED流水灯的控制。

1.2复位电路 如图所示,当按下按键时,就能完成整个系统的复位,使得程序从新运行。 图3.复位电路 1.3时钟电路 时钟电路用于产生单片机工作所需要的时钟信号,单片机本身就是一个复杂的同步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格地按时序进行工作。 在AT89C51芯片部有一个高增益反相放大器,其输入端为芯片引脚X1,输出端为引脚X2,在芯片的外部跨接晶体振荡器和微调电容,形成反馈电路,就构成了一个稳定的自激振荡器。此电路采用12MHz的石英晶体。

图4.时钟电路 2.流水灯部分 图5.流水灯电路 三.软件设计 3.1编程语言及编程软件的选择 本设计选择C语言作为编程语言。C语言虽然执行效率没有汇编语言

光控流水灯设计

编号: 课程设计(论文)说明书 题目:光控流水灯设计 院(系):信息与通信学院 专业:电子信息工程 学生姓名:段超宁 学号: 0900220411 指导教师:蒋俊正 2012年12 月10 日

摘要:光控流水灯控制器是一个通过外界光线的强度来控制输出方波的频率,通过它可以自动实现一些控制,通过感光装置(光敏电阻),实现自动化开关有利于许多生产与生活,例如在车间里可以安装光控开关来控制车间里的照明灯,这样既利于车间的照明又有利于节约电,光足够亮时开关会自动关掉照明灯。在其他面也可以广泛应用。 光控流水灯在日常生活中的应用已经全面在市场上开始推广,但毫无疑问,这一设计的应用前景是很广阔的。本文概述了光敏电阻的基本原理和特点,并介绍了光敏电阻的基本结构和用于实现电路控制的功能;并介绍了其用于控制路灯的设计方案,并对程序进行调试及性能分析。 关键字:光敏电阻,光控流水灯

目录 1. 光敏电阻的结构与工作原理 (3) 2. 光敏电阻的主要参数 (5) 3.光敏电阻的特性 (5) 3.1 伏安特性 (5) 3.2 光谱特性 (6) 3.3 温度特性 (6) 3.4 频率特性 (6) 4 继电器的类型参数 (7) 5 稳压二极管参数 (7) 6. 三极管参数 (8) 7. 系统原理 (8) 8. 原理图 (9) 9. 工作原理及过程 (9) 10. 元件的选取列表及参数 (10) 11. 结束语 (10) 12. 附录:实物图参考 (11)

1. 光敏电阻的结构与工作原理 光敏电阻又称光导管,它几乎都是用半导体材料制成的光电器件。光敏电阻没有极性, 纯粹是一个电阻器件,使用时既可加直流电压,也可以加交流电压。无光照时,光敏电阻值(暗电阻)很大,电路中电流(暗电流)很小。当光敏电阻受到一定波长范围的光照时,它的阻值(亮电阻)急剧减小,电路中电流迅速增大。 一般希望暗电阻越大越好,亮电阻越小越好, 此时光敏电阻的灵敏度高。实际光敏电阻的暗电阻值一般在兆欧量级, 亮电阻值在几千欧以下。 它是利用半导体的光电效应制成的一种电阻值随入射光的强弱而改变的电阻器。它是在一块匀质的光电导体两端加上电极构成。两电极加上一定电压后,当光照射到光电导体上,由光照产生的光生载流子在外加电场作用下沿一定方向运动,在电路中产生电流,达到光电转换目的。它以其高度的稳定性而广泛地应用在自动化技术 (a) 光敏电阻结构; (b) 光敏电阻电极; (c) 光敏电阻接线图 光敏电阻结构图 金属电极 半导体 玻璃底板电源检流计R L E I (a ) (b )(c ) R a 0.050.100.150.200.25 0.300.350.40 00.20.40.60.81.01.21.4 I / m A / lm

心形流水灯

流水灯程序 申明:此代码用于学习交流,禁止用于商业用途! //====================================蓝色之心================================================== //名称:蓝色之心 //文件功能:流水灯移动 //修改时间:2014年5月18日 //修改人:刘祚东 //说明:1T单片机12M晶振 //===================================================================== ========================= main.c #include "STC12C5A60S2.H" #include "typedef.h" #include "led.h" #include "water.h" void main() { while(1) { LED_0(5);//运算方式流水灯 dropWater(50); //水滴效果流水灯 } } typedef.h #ifndef _typedef #define _typedef typedef unsigned char uchar; typedef unsigned char uchar8; typedef unsigned char Byte; typedef unsigned int uint; typedef unsigned int uchar16; typedef unsigned int Word; #endif led.h #ifndef _led

#define _led void Delay_1ms(uint Time); //误差0us void P0LED_LeftShift(uint Time);//LED左移 void P1LED_LeftShift(uint Time);//LED左移 void P2LED_LeftShift(uint Time);//LED左移 void P3LED_LeftShift(uint Time);//LED左移 void P0LED_RightShift(uint Time);//LED右移 void P1LED_RightShift(uint Time);//LED右移 void P2LED_RightShift(uint Time);//LED右移 void P3LED_RightShift(uint Time);//LED右移 void P0_LeftShift(uint Time);//LED左移流水 void P1_LeftShift(uint Time);//LED左移流水 void P2_LeftShift(uint Time);//LED左移流水 void P3_LeftShift(uint Time);//LED左移流水 void P0_RightShift(uint Time);//LED右移流水 void P1_RightShift(uint Time);//LED右移流水 void P2_RightShift(uint Time);//LED右移流水 void P3_RightShift(uint Time);//LED右移流水 void P0P1LED_DownShift(uint Time);//LED向下 void P2P3LED_DownShift(uint Time);//LED向下 void P0P1_DownShift(uint Time);//LED向下流水 void P2P3_DownShift(uint Time);//LED向下流水 void P0P1LED_UpShift(uint Time);//LED向上 void P2P3LED_UpShift(uint Time);//LED向上 void P0P1_UpShift(uint Time);//LED向上流水 void P2P3_UpShift(uint Time);//LED向上流水 void P0P1P2P3_flicker(uint Time);//LED全闪烁 void P0P1P2P3_downShift(uint Time);//LED四组同时向下移动 void P0P1P2P3_upShift(uint Time);//LED四组同时向上移动 void P0123_RightShift(uint Time);//LED向右顺时针旋转 void P0123_LeftShift(uint Time);//LED向左顺时针旋转 void LED_0(uint time);//流水灯函数 #endif led.c #include "STC12C5A60S2.H" #include "intrins.h" #include "typedef.h" //===================================================================== ========================= //函数名称:延时函数

流水灯编程作业

编程作业 1.32位流水灯。 说明:由P0、P1、P2、P2口组成32位流水灯依次点亮,如此循环。 2.16位拉幕灯。 说明:由P1、P2组成16位拉幕灯,依次由逐次点亮到。再由到逐次熄灭。如此循环。 3.32位流水灯交替点亮。 说明:由P0、P1、P2、P3组成32位流水灯交替显示。 第一步:先同时点亮、、、…….、.。 、、、………、不亮 第二步:同时点亮、、、………、。 、、、…….、.不亮。

如此循环。 4.8位流水灯闪烁点亮。 说明:8位流水灯依次点亮,每位灯闪烁三次,再点亮下一位。 8位灯依次全部闪烁后,再将8位灯同时点亮闪烁三次。 如此循环。 5.16位流水灯收缩点亮。 说明:由P1、P2口组成16位流水灯。 第一步:由逐次向点亮,同时由逐次向 点亮。 第二步:全亮后,由中间向两边逐次熄灭。如此循环。 6.16位流水灯相向点亮。 说明:由P1、P2口组成16位流水灯依次分别点亮。 第一步:由逐次向流水点亮。 第二步:同时由逐次向流水点亮。如此循环。

7.一键多控流水灯。 说明:在设置一个按键,在P2口连接8位流水灯,每按一次按键点亮一位灯,再按一次开关,点亮下一位灯,如此循环。 8.多位开关控制不同显示速度。

说明:在、、、口设置4个按键,在P2口连接8位流水灯。当按下不同的按键,使8位流水灯按不同的速度显示。 9.LED灯显示8位开关状态。 说明:在P1口连接8位开关,在P2口连接8位LED灯,用8位灯的亮或不亮显示8位开关的状态。按键闭合,相应位的灯亮,按键打开,相应的灯不亮。改变状态时,灯闪烁三次。

10.红绿交通信号灯模拟控制。 说明:由P2口连接8位显示灯模拟四个方向的红绿交通信号灯,要求设计红绿交通信号灯的显示时间。 11.带黄等的交通信号灯的模拟控制。 说明:由P2口连接6位信号灯模拟两个方向的交通信号灯,要

多变流水灯控制电路.doc

(1)电路结构与特点 多变流水灯控制电路如图2S所示。图中的多谐振荡器由非门U5;A、U5:B及R1、R2、C1组成,其振荡频率为2H2。三极管开关电路由R3、v1组成,它并联在R2(决定频率的元件之一)的两端。当v1饱和时,相当于R2两端并联一电阻,多谐振荡器的频率将 变为原来的3倍。多谐振荡器产生的方波由两路输出,其中b4日1u5:A输出的一路输入U4的12级串行二进制计数分频器。该计数分频器将输入端信号输出,分频作用于v1。在U4的13脚输出的一个方波的前半段,其输出电平为“o”,v1截止,振荡器频率保持2H2;在后半段v1饱和,使振荡频率变为6Hz。非门U5:B输出至U1的BCD可预置数同步可逆计数器。其4、12、13、3脚为BCD码数据预置端,6、11、14、2脚为BCD码数据输出端。9脚为清零端,当其为高电平时,输出的数据为咖零数。l脚为置数允许端,当其为 高电平而9脚为低电平时,输出的数据与4、12、13、3脚预置数相同。I o脚为加、减计数

控制端,高电平为加计数,低电乎为减计数。5脚为进位输入端,无进位时,固定为低电乎。15脚为时钟脉冲输入端,脉冲上升沿有效。U1输出直接至U2的咖十进制译码器,将BcD码数据译为十进制码,从相应的十进制码数输出端输出。电路中Ul的4、12脚接高电乎,13、3脚接低电乎,故预置数为o011,即十进制数的3。u1的10脚由U4的输出端提供控制信号,当U1的15脚连续不断地输入时钟脉冲时,如果u1的10脚为高电平,则U1输出的比D码数据经U2译码,U2的3、14、2、15脚依次输出高电平。当U2的1 脚输出高电平时,经R5、C2稍加延时输入非门U5:D、U5lc整形,将经RC延时使前 沿变得较平滑的波形重新整形为方波,以避免ul同步计数器产生信号丢失。整形后的高 电乎至U1的9脚时,U2的3脚迅速变为高电乎输出。于是开始了3、14、2、15脚依次输出高电乎的重复过程。当u1的10脚为低电平时,计数器按逆向过程15、2、14、3脚顺序输出高电乎,原理同前所述。由u2输出的信号分成两路,其中一路输入u3四双向开关,其任一组开头在控制端为高电平时呈低阻通态,而在控制端为低电平时为高阻断态。由 U4的12、14脚输出端经V3、V4、R15组成“或”门电路,同时控制U3四组开关的通、断。 当开关通时,u2的一个输出端的高电乎可以使两个三极管饱和,而开关为断态时,此高电乎只能使一个三极管饱和。三极管由集电极反相输出,控制双向可控硅vsl—vs4的通、断,从而实现对彩灯的控制。 (2)无路件选择 在图23中,U1选用CD45lo,U2选用凹4028,U3选用CD4066,U4选用CD4040,

51单片机爱心流水灯原理及制作

电路原理图:

原件清单: 1、51单片机x1、40Pin 座x1 2、LED x32(建议用5mm 七彩的) 3、电阻470Ωx33 4、晶振12MHz x1 5、10uf 电解电容x1、谐振瓷片电容30pf x2 6·其他的可以看自己的爱好去加 7、其实也可以不用那么多的电阻,用几个排阻就OK了。~ 效果展示:

作品程序: #include<> #define uchar unsigned char ; uchar flag=200; /////////////////////////////////////////////////////////////////////// uchar code Tab1[]={0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F,0xFF};//暗中左移向下uchar code Tab2[]={0x7F,0xBF,0xDF,0xEF,0xF7,0xFB,0xFD,0xFE,0xFF};//暗中右移向上uchar code Tab3[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80,0x00};//亮中左移向下uchar code Tab4[]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01,0x00};//亮中右移向上uchar code Tab11[]={0xFE,0xFC,0xF8,0xF0,0xE0,0xC0,0x80,0x00,0xff};//暗中左移向下uchar code Tab22[]={0x7F,0x3F,0x1F,0x0F,0x07,0x03,0x01,0x00,0xff}; //////////////////////////////////////////////////////////////////// uchar code Tab33[]={0x80,0xC0,0xE0,0xF0,0xF8,0xFC,0xFE,0xFF}; ; uchar code Tab44[]={0x01,0x03,0x07,0x0F,0x1F,0x3F,0x7F,0xFF}; uchar code Tab55[]={0x08,0xc0,0xe0,0xf0,0xf8,0xfc,0xfe,0xff,0xff}; uchar code Tab5[]={0x00,0x80,0xC0,0xE0,0xF0,0xF8,0xFC,0xFE,0xff};

流水灯辅助说明

实验1-----点亮发光二极管 1. 实验任务 如图1-1所示,在I/O(P0)端口上接八个发光二极管LED,点亮八个发光二极管LED。 2 电路原理图 图1-1 3 配套材料清单

位号名称规格元件个数R 电阻1k 一个470Ω8个9 C1--C2 电容30pF 2 C3 电解电容10uF 1 D1--D8 LED 8 晶振(X1) 12M 1 电池5V U1 A T89C51 1 4电路仿真 首先在仿真软件ISIS 7 Professional中的左侧工具栏 单击,就会出现

如输入电阻res,在右面就会出现 双击右面的,电阻res就到了 单击res就可以在右面的区域使用了。

在左侧的工具栏 中单击图标,右边的条栏中将出现 单击,就可以选取电源了。同样的单击选取接地。

5 仿真电路中元器件对应的名称 元件位号规格参数仿真元件名称电阻R 1KΩRES 电容C1--C2 30pF CAP 电解电容C3 10uF CAP-ELEC D1--D8 LED LED-RED 晶振(X1) 12M CRYSTAL 电池5V U1 A T89C51 89C51 按键Button Button 6 程序设计内容 ①汇编程序 ORG 0000H MAIN:MOV P0 ,#0F0H ; 把0FH送到P2口输出显示 END ②C 语言程序 #include void main() { P0=0xF0; // 0xF0;把0xF0送到P0口输出显示 }

7 程序的烧录(Hex文件的烧录) ①下载前先把KILE生成HEX文件。 ②下载有专门用的下载软件,例如STC-ISPV91软件,可以在网上下载到此软件。 ③下在软件安好后,打开其软件将会出现下面的界面 你先在确定你所用的单片机的型号,其次

流水灯设计

辽宁工程技术大学 专业课程综合训练项目说明书题目:__________ 流水灯设计_________ 课程名称:单片微型计算机原理与应用 班级: 机电14-4 学号: 1407060405 姓名: 董立春 指导教师:苏畅 完成日期:2016,11

、设计题目 流水灯设计 二、设计内容 循环点亮LED,用单片机控制8个LED发光二极管完成如下所示的功能: XXXXXXXX XXXXXXXO XXXXXXOO XXXXXOOO XXXXOOOO XXXOOOOO XXOOOOOO X0000000 00000000 学号压缩的BCD码表示 注:“X”表示灭,“0”表示两亮,每一行为一次显示状态,每两个显示状态间隔0.5s, 10 三、综合训练要求 设计说明书(2000?5000字)1份 四、评分标准 补充评分要求:对综合训练项目中,能够采用开发板调试,或软件仿真的形式实现功能,将视难易程度及能够按时提交情况酌情提分,但不超过每个综合项目满分10分的标准 五、指导教师评语 该生设计的过程中表现_____________,设计内容反映的基本概念及计算 _________ ,设计方案_________ ,说明书撰写__________ ,答辩表现 __________ 。 成绩: ______________

指导教师 ______________ 日期

目录 1流水灯设计简介 1.1 流水灯工作原理?‘ 1.2 课程基本知识点., 2硬件电路设计. 2.1 硬件电路基本结构 2.2 各部分电路功能., 3软件设计. 3.1 程序流程图设计.‘ 3.2 汇编语言程序..… 4结论.. 参考文献

22位音乐流水灯说明书

湄洲湾职业技术学院 22位音乐流水灯说明书 系别:自动化工程系 年级:2010级专业:电气自动化工程技术姓名:张碧梅学号:1001020209 导师姓名:李清生职称:副教授 2013年05月27日

目录 1. 前言 (1) 2.22位音乐流水灯技术参数要求 (2) 3.22位音乐流水灯系统设计 (3) 3.1系统设计总体方框图 (3) 3.2 各模块原理说明 (3) 3.2.1显示部分 (3) 3.2.2单片机部分 (3) 3.3系统总工作原理 (4) 3.4系统印刷电路板的制作图 (4) 3.5系统的操作说明 (4) 3.5.1 通电测试 (4) 3.5.2 使用说明 (4) 3.6系统的操作注意事项 (5) 3.6.1 焊接与安装 (5) 3.6.2 使用注意事项 (5) 参考文献 (6) 致谢词 (7) 附录 (8) 附录1 22位音乐流水灯原理图 (8) 附录2 系统印刷电路板的制作图 (8) 附录3 元件清单 (9)

1.前言 在新的技术飞跃的现代,人们因生活层次、文化习俗、音乐修养、欣赏口味的不同在各行各业涌现出许多创新佳作,本次设计利用音乐与流水灯结合达到音乐梦幻灯的效果。通过发光二极管的动态闪亮,显示非常炫目的效果,应用于各种建筑物,大楼,酒吧,KTV,夜总会等娱乐场所,拖尾灯,以及各种休闲娱乐场所用的动态灯光显示 本次设计的是一个实现多色彩灯循环亮,循环灭的功能。22位音乐流水灯是利用STC89C52低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash 存储器。在单芯片上,拥有灵巧的8位CPU和在系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。具有以下标准功能:8k字节Flash,512字节RAM,32 位IO 口线,看门狗定时器,内置4KB EEPROM,MAX810复位电路,三个22 位定时器/计数器,一个6向量2级中断结构,全双工串行口。另外STC89C52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35Mhz。

相关文档
最新文档