proteus7仿真简易教程

proteus7仿真简易教程
proteus7仿真简易教程

Proteus7简易教程

单片机相关

Author:Wukk

Create Date:11/26/2011

Version:1.0.0

Email:11046064@https://www.360docs.net/doc/d85330550.html,

标题: Proteus 7简易教程—单片机相关

作者:Wukk

创建日期:2011-11-26

上次更新日期: 2011-11-26

版本:1.0(初稿)

文档状态

修订文档历史记录

日期版本说明作者2011-11-26 初稿需要动手实践Wukk

2011-**-** 1.0 ****

2011-**-** 2.0 ****

目录

一、软件简介 (4)

二、认识PROTEUS 7 (4)

三、基本操作 (5)

3.1、预览窗口的作用 (5)

3.2编辑区域的缩放 (6)

3.3点状栅格和刷新 (6)

3.3.1显示和隐藏点状栅格 (6)

3.3.2 刷新 (6)

3.4对象的放置和编辑 (6)

3.4.1对象的添加和放置 (6)

3.4.2放置电源及接地符号 (8)

3.4.3对象的编辑 (9)

3.5原理图的基本绘制 (9)

3.5.1画导线 (9)

3.5.2画总线 (9)

3.5.3画总线分支线 (9)

3.5.4放置总线 (10)

3.5.5放置线路节点 (10)

四、KEIL 与PROTEUS相结合的仿真实例 (10)

4.1电路图的设计 (10)

4.2电路图的绘制 (11)

4.2.1将所需元器件加入到对象选择器窗口。 (11)

4.2.2放置元器件至图形编辑窗口 (12)

4.2.3放置电源和接地元件 (14)

4.2.4元器件之间的连线 (14)

4.3K EIL与P ROTEUS连接调试 (15)

Proteus 7简易教程

单片机相关

软件及系统环境情况如下表:

表格1-1 开发环境介绍

一、软件简介

Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA 工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。

二、认识Proteus 7

双击桌面上的ISIS 7 Professional图标或者单击屏幕左下方的“开始”→“程序”→“Proteus 7 Professional”→“ISIS 7 Professional”,出现如图2-1所示屏幕,表明进入Proteus ISIS集成环境。

图2-1 Proteus 7启动页面

标题栏、主菜单、标准工具栏、绘图工具栏、状态栏、对象选择按钮、预览对象方位控制按钮、仿真进程控制按钮、预览窗口、对象选择器窗口、图形编辑窗口。

图2-2 Proteus 7工作界面

三、基本操作

3.1、预览窗口的作用

该窗口通常显示整个电路图的缩略图。在预览窗口上点击鼠标左键,将会有一个矩形蓝绿框标示出在编辑窗口的中显示的区域。其他情况下,预览窗口显示将要放置的对象的预览。这种Place Preview特性在下列情况下被激活:

●当一个对象在选择器中被选中

●当使用旋转或镜像按钮时

●当为一个可以设定朝向的对象选择类型图标时(例如:Component icon,

Device Pin icon等等)

●当放置对象或者执行其他非以上操作时,place preview会自动消除

●对象选择器(Object Selector)根据由图标决定的当前状态显示不同的内容。

显示对象的类型包括:设备,终端,管脚,图形符号,标注和图形。

●在某些状态下,对象选择器有一个Pick切换按钮,点击该按钮可以弹出库元

用。

3.2编辑区域的缩放

Proteus的缩放操作多种多样,极大地方便了我们的设计。常见的几种方式有:完全显示(或者按“F8”)、放大按钮(或者按“F6”)和缩小按钮(或者按“F7”),拖放、取景、找中心(或者按“F5”)。

也可以通过如下几种方式:

●用鼠标左键点击预览窗口中想要显示的位置,这将使编辑窗口显示以鼠标点

击处为中心的内容。

●在编辑窗口内移动鼠标,按下SHIFT键,用鼠标“撞击”边框,这会使显示

平移。我们把这称为Shift-Pan。

●用鼠标指向编辑窗口并按缩放键或者操作鼠标的滚动键,会以鼠标指针位置

为中心重新显示。

3.3点状栅格和刷新

编辑区域的点状栅格,是为了方便元器件定位用的。鼠标指针在编辑区域移动时,移动的步长就是栅格的尺度,称为“Snap(捕捉)”。这个功能可使元件依据栅格对齐。

3.3.1显示和隐藏点状栅格

点状栅格的显示和隐藏可以通过工具栏的按钮或者按快捷键的“G”来实现。鼠标移动的过程中,在编辑区的下面将出现栅格的坐标值,即坐标指示器,它显示横向的坐标值。因为坐标的原点在编辑区的中间,有的地方的坐标值比较大,不利于我们进行比较。此时可通过点击菜单命令“View”下的“Origin”命令,也可以点击工具栏的按钮或者按快捷键“O”来自己定位新的坐标原点。

3.3.2 刷新

编辑窗口显示正在编辑的电路原理图,可以通过执行菜单命令“View”下的“Redraw”命令来刷新显示内容,也可以点击工具栏的刷新命令按钮回或者快捷键“R”,与此同时预览窗口中的内容也将被刷新。它的用途是当执行一些命令导致显示错乱时,可以使用该命令恢复正常显示。

3.4对象的放置和编辑

3.4.1对象的添加和放置

点击工具箱的元器件按钮,使其选中,再点击对象选择器左边中间的置P 按钮,出现“Pick Devices”对话框,如下图所示:

图3.4.1-1设备选择页面

在这个对话框里我们可以选择元器件和一些虚拟仪器。这里以添加单片机80C51为例来说明怎么把元器件添加到编辑窗口的。在“Keyword”下面输入80C51关键字,在“Gategory(器件种类)”下面,我们找到“MicoprocessorIC”选项,鼠标左键点击一下,在对话框的右侧,我们会发现这里有大量常见的各种型号的单片机。找到单片机80C51,双击“80C51”,情形如下图所示:

图3.4.1-2选择单片机

这样在左边的对象选择器就有了80C51这个元件了。点击一下这个元件,然后把鼠标指针移到右边的原理图编辑区的适当位置,点击鼠标的左键,就把

图3.4.1-3将单片机原件放到编辑区

3.4.2放置电源及接地符号

我们会发现许多器件没有Vcc 和GND引脚,其实他们隐藏了,在使用的时候可以不用加电源。如果需要加电源可以点击工具箱的接线端(终结点)按钮,这时对象选择器将出现一些接线端,如下图所示:

图3.4.2-1放置电源及接地符号

可放置接地符号;同理也可以把电源符号POWER放到原理图编辑区。

3.4.3对象的编辑

调整对象的位置和放置方向以及改变元器件的属性等,有选中、删除、拖动等基本操作,方法很简单,不再详细说明。其他操作还有:

(1)拖动标签:许多类型的对象有一个或多个属性标签附着。可以很容易地移动这些标签使电路图看起来更美观。移动标签的步骤如下:首先点击右键选中对象,然后用鼠标指向标签,按下鼠标左键。一直按着左键就可以拖动标签到你需要的位置,释放鼠标即可。

(2)对象的旋转:许多类型的对象可以调整旋转为0。、90。、270。、360。或通过x轴y轴镜象旋转。当该类型对象被选中后,“旋转工具按钮”图标会从蓝色变为红色,然后就可以改变对象的放置方向。旋转的具体方法是:首先点击右键选中对象,然后根据你的要求用鼠标左键点击旋转工具的4个按钮。

(3)编辑对象的属性:对象一般都具有文本属性,这些属性可以通过一个对话框进行编辑。编辑单个对象的具体方法是:先用鼠标右键点击选中对象,然后用鼠标左键点击对象,此时出现属性编辑对话框。也可以点击工具箱的按钮,再点击对象,也会出现编辑对话框。

3.5原理图的基本绘制

3.5.1画导线

Proteus的智能化可以在你想要画线的时候进行自动检测。当鼠标的指针靠近一个对象的连接点时,跟着鼠标的指针就会出现一个红色小方框,鼠标左键点击元器件的连接点,移动鼠标(不用一直按着左键)就。出现了粉红色的连接线变成了深绿色。如果你想让软件自动定出线路径,只需左击另一个连接点即可。这就是Proteus的线路自动路径功能(简称W AR),如果你只是在两个连接点用鼠标左击,W AR将选择一个合适的线径。W AR可通过使用工具栏里的“W AR”命令按钮来关闭或打开,也可以在菜单栏的“Tools”下找到这个图标。如果你想自己决定走线路径,只需在想要拐点处点击鼠标左键即可。在此过程的任何时刻,你都可以按ESC或者点击鼠标的右键来放弃画线。

3.5.2画总线

为了简化原理图,我们可以用一条导线代表数条并行的导线,这就是所谓的总线。点击工具箱的总线按钮,即可在编辑窗口画总线。

3.5.3画总线分支线

点击工具的按钮,画总线分支线,它是用来连接总线和元器件管脚的。画总线的时候为了和一般的导线区分,我们一般喜欢画斜线来表示分支线,但是这时如果W AR功能打开是不行的,需要把W AR功能关闭。画好分支线我们还需要给分支线起个名字。右键点击分支线选中它,接着左键点击选中的分支线就会出现分支线编辑对话框同端是连接在一起的,放置方法是用鼠标单击连线工具条中图标或者执行Place/Net Label菜单命令,这时光标变成十字形并且将有一虚线框在工作区内移动,再按一下键盘上的[Tab]键,系统弹出网络标号属性对话框,在Net项定义网络标号比如PB0,单击[OK],将设置好的网络标号放在3.5.1中

3.5.4放置总线

放置总线将各总线分支连接起来,方法是单击放置工具条中图标或执行Place/Bus菜单命令,这时工作平面上将出现十字形光标,将十字光标移至要连接的总线分支处单击鼠标左键,系统弹出十字形光标并拖着一条较粗的线,然后将十字光标移至另一个总线分支处,单击鼠标的左键,一条总线就画好了。

使用技巧。当电路中多根数据线、地址线、控制线并行时使用总线设计。

3.5.5放置线路节点

如果在交叉点有电路节点,则认为两条导线在电气上是相连的,否则就认为它们在电气上是不相连的。ISIS在画导线时能够智能地判断是否要放置节点。但在两条导线交叉时是不放置节点的,这时要想两个导线电气相连,只有手工放置节点了。点击工具箱的节点放置按钮或者直接点击鼠标左键,当把鼠标指针移到编辑窗口,指向一条导线的时候,会出现一个红色方框,点击左键就能放置一个节点。

四、Keil 与Proteus相结合的仿真实例

4.1电路图的设计

下面以一个简单的实例来完整的展示一个KeilC与Proteus相结合的仿真过程。

单片机电路设计图如下所示。电路的核心是单片机A T89C51和一个晶振电路。单片机的P1口0引脚接LED灯,电阻起限流作用。功能是实现LED灯的闪烁。

图4-1电路图设计

4.2.1将所需元器件加入到对象选择器窗口。

所需的原件有:电容(CAP)、晶体振荡器(CRYSTAL)、电阻(RES)、电解电容(CAP-ELEC)、黄色LED灯(LED-YELLOW)、单片机A T89C51。符号分别如下:

图4.2.1-1元器件图

针对原件的符号和名称,大家可以从网上下载Proteus 的原件对照表查看。

单击对象选择器按钮,如下图所示

图4.2.1-2点击选择设备按钮

弹出“Pick Devices”页面,在“Keywords”输入A T89C51,系统在对象库中进行搜索查找,并将搜索结果显示在“Results”中,如下图所示。

图4.2.1-3选择单片机

对象选择器窗口。

同理,将其他元件添加到对象选泽器窗口中。

经过以上操作,在对象选择器窗口中,已有了电容(CAP)、晶体振荡器(CRYSTAL)、电阻(RES)、电解电容(CAP-ELEC)、黄色LED灯(LED-YELLOW)和单片机A T89C51六个元器件对象,若单击A T89C51,在预览窗口中,见到A T89C51的实物图;分别单击其他元件均可在预览窗口中看到实物图,如下图所示。此时,我们已注意到在绘图工具栏中的元器件按钮处于选中状态。

图4.2.1-4元件预览

4.2.2放置元器件至图形编辑窗口

在对象选择器窗口中,选中A T89C51,将鼠标置于图形编辑窗口该对象的欲放位置、单击鼠标左键,该对象被完成放置。同理,将其他元件放置到图形编辑窗口中,如下图所示:

图4.2.2-1把元件放到编辑区

到,该对象的颜色已变至红色,表明该对象已被选中,按下鼠标左键,拖动鼠标,将对象移至新位置后,松开鼠标,完成移动操作。

图4.2.2-2元件的移动

按照设计好的电路图,设置元件的参数。例如双击电容元件,弹出编辑窗口,在编辑窗口中设置电容的参数如下所示(其他元件操作相同):

图4.2.2-3设置元件的参数

由于两个电容的型号和参数值均相同,因此可利用复制功能作图。将鼠标移到电容C1,右键单击,选中C1,在弹出菜单中选择复制按钮,拖动鼠标,按下鼠标左键,将对象复制到新位置。此时我们已经注意到,电阻名的标识,系统自动加以区分。

图4.2.2-4元件的复制

单击绘图工具栏中的终结点按钮,在器件选择器里点击GROUND,鼠标移到原理图编辑区,左键点击一下即可放置接地符号;同理也可以把电源符号POWER放到原理图编辑区,如下图所示。

图4.2.3-1添加电源和接地元件

4.2.4元器件之间的连线

Proteus的智能化可以在你想要画线的时候进行自动检测。下面,我们来操作将LED的负端连接到单片机的P1^0端。首先将LED灯旋转,当鼠标的指针靠近LED右端的连接点时,跟着鼠标的指针就会出现一个红色小方框,表明找到了LED的连接点,单击鼠标左键,移动鼠标(不用拖动鼠标),将鼠标的指针靠近单片机的P1^0端的连接点时,跟着鼠标的指针就会出现一个红色小方框,表明找到了单片机的P1^0端的连接点,单击鼠标左键,粉红色的连接线变成了深绿色。(如果需要有折线的话,线形会由直线自动变成了90o的折线,这是因为我们选中了线路自动路径功能。)

Proteus具有线路自动路径功能(简称W AR),当选中两个连接点后,W AR将选择一个合适的路径连线。W AR可通过使用标准工具栏里的“W AR”命令按钮

来关闭或打开,也可以在菜单栏的“Tools”下找到这个图标。

同理,我们可以完成其它连线。在此过程的任何时刻,都可以按ESC键或者单击鼠标的右键来放弃画线,或者按Ctrl+Z快捷键撤销操作。拖动连线的元件,连线会跟着延伸和缩短。

最终的电路图如下所示:

图4.2.4-1完成电路图的绘制

至此,我们便完成了整个电路图的绘制。

4.3 Keil与Proteus连接调试

在编辑窗口中双击单片机,弹出对单片机的编辑窗口,在该窗口中选择程序文件“Program File”,点击选择按钮选择有Keil 编译得到的目标文件Test.hex,然后点击“OK”按钮完成编辑。如下图所示:

图4.3-1选择目标文件

单击左下角的仿真运行开始按钮,我们能清楚地观察到LED灯在闪烁。最后可以点击结束按钮结束调试,详细效果见下图:

图4.3-2仿真调试效果图1

图4.3-3仿真调试效果图2

hspice仿真整理

§电路级和行为级仿真 §直流特性分析、灵敏度分析 §交流特性分析 §瞬态分析 §电路优化(优化元件参数) §温度特性分析 §噪声分析 例(Hspicenetlist for the RC network circuit): .title A SIMPLE AC RUN .OPTIONS LIST NODE POST .OP .AC DEC 10 1K 1MEG .PRINT AC V(1) V(2) I(R2) I(C1) V1 1 0 10 AC 1 R1 1 2 1K R2 2 0 1K C1 2 0 .001U .END 输出文件:一系列文本文件 ?*.ic:initial conditions for the circuit ?*.lis:text simulation output listing ?*.mt0,*.mt1…:post-processor output for MEASURE statements ?*.pa0 :subcircuit path table ?*.st0 :run-time statistics ?*.tr0 ,*.tr1…:post-processor output for transient analysis ?*.ac0,*.ac1…: post-processor output for AC analysis .TITLE 语句 .TITLE 或者: 如果是第二种形式,字符串应该是输入文件的首行;如果一个HSPICE语句出现在文件的首行,则它将被认为是标题而不被执行。 .END 语句 形式:.END 在.END语句之后的文本将被当作注释而对模拟没有影响。 分隔符 ?包括:tab键,空格,逗号,等号,括号 ?元件的属性由冒号分隔,例如M1:beta ?级别由句号指示,例如X1.A1.B 表示电路X1的子电路A1的节点B 常量 ?M-毫,p-皮,n-纳,u-微,MEG-兆,

校企合作开发课程

以职业能力需求为基础的校企合作开发课程 职业能力需求的校企课程开发是指以提高从事某一职业的专门技术和综合能力为根本出发点,他是校企联合进行课程开发的基础。 这种以职业能力为需求的校企合作开发课程具备以下几个特点: 1、以职业能力为导向的课程价值取向。 2、关注个体、企业、行业三个层面的需求。 3、课程围绕职业分析进行设计。 通信技术专业依托现有的校企合作基础,以职业能力需求为基础共同开发课程,主要体现在:教学师资、教材选取、教学内容、教学方式及考核方式几个方面。下面以光传输技术为例,来说明下校企合作开发课程的具体过程及特点。 一、教学团队的组建 以往的授课方式,以理论为主,同时授课的主体为学院的教师。但是这样的教学团队并不能适应高职教育的特点。因此在进行教学团队组建时,吸纳有丰富工程经验的企业教师加入。这些教师的加入能带来行业中最新的操作规程及方法。同 二、教材的选取 教材作为重要的教学资料,必须要适应高职教育的特点以及实际授课中所采用的教学内容和教学方法。由于采用的是分情境的任务式驱动教学,必须要有对应工作场景和工作任务将课程的内容进行贯穿和组织。基于以上的考虑,采用的是由中兴公司开发的任务驱动式教材,这本教材改变了以往的先理论,后实践的教学

模式。将理论和实践融合进具体的项目中。 三、教学内容 在对具体教学内容选取时,选取了一个光传输网络由组建到维护的过程,将这个过程划分成三个情境,再将任务情境划分成不同的工作任务,每个工作任务对应一个到多个单项工作任务,而每个单项工作任务对应学生必须要掌握的一项职业

在进行授课时,采用课程实施一体化的教学方式,主要体现在实施主体、教学过程和教学场所三方面的变化。教学主体由教师转变为学生,同时结合通信行业的特点,将学生划分成不同的小组,以小组的形式完成项目,构建以合作为主题的新型师生关系和生生关系,真正凸显学习者的中心地位;教学过程与工作过程相结合,做到学生心理过程与行动过程一体;教学场所将传统的教室、专业教室和实训室相结合,融合“教、学、做”一体的教学环境。

TSPC锁存器的设计与HSPICE仿真

IC课程设计报告 题目TSPC锁存器的设计与HSPICE仿真学院 专业 班级 学生姓名 日期

指导教师(签字) HSPICE简介 SPICE(Simulator Program with Integrated Circuit Emphasis,以集成电路为重点的模拟程序)模拟器最初于20世纪70年代在berkeley开发完成,能够求解描述晶体管、电阻、电容以及电压源等分量的非线性微分方程。SPICE 模拟器提供了许多对电路进行分析的方法,但是数字VLSI电路设计者的主要兴趣却只集中在直流分析(DC analysis)和瞬态分析(transient analysis)两种方法上,这两种分析方法能够在输入固定或实时变化的情况下对节点的电压进行预测。SPICE程序最初是使用FORTRAN语言编写的,所以SPICE就有其自身的一些相关特点,尤其是在文件格式方面与FORTRAN有很多相似之处。现在,大多数平台都可以得到免费的SPICE版本,但是,往往只有商业版本的SPICE 才就有更强的数值收敛性。尤其是HSPICE,其在工业领域的应用非常广泛,就是因为其具有很好的收敛性,能够支持最新的器件以及互连模型,同事还提供了大量的增强功能来评估和优化电路。PSPICE也是一个商业版本,但是其有面向学生的限制性免费版本。本章所有实例使用的都是HSPICE,这些实例在平台版本的SPICE中可能不能正常运行。 虽然各种SPICE模拟器的细节随着版本和操作平台的不同而各不相同,但是所有版本的SPICE都是这样工作的:读入一个输入文件,生产一个包括模拟结果、警告信息和错误信息的列表文件。因为以前输入文件经常是以打孔卡片盒的方式提供给主机的,所以人们常常称输入文件为SPICE“卡片盒(deck)”,输入文件中的每一行都是一张“卡片”。输入文件包含一个由各种组件和节点组成的网表。当然输入文件也包含了一些模拟选项、分析指令以及器件模型。网吧可以通过手工的方式输入,也可以从电路图或者CAD工具的版图(layout)中提取。 一个好的SPICE“卡片盒”就好像是一段好的软件代码,必须具有良好的可读性、可维护性以及可重用性。适当地插入一些注释和空白间隔有助于提高“卡片盒”的可读性。一般情况下,书写SPICE“卡片盒”的最好方法就是:先找一个功能完备、正确的“卡片盒”范例,然后在此基础上对其进行修改。

Hspice 简明手册

Hspice简明手册 Hspice简明手册 Hspice是一个模拟电路仿真软件,在给定电路结构和元器件参数的条件下,它可以模拟和 计算电路的各种性能。用Hspice分析一个电路,首先要做到以下三点: (1)给定电路的结构(也就是电路连接关系)和元器件参数(指定元器件的参数库); (2)确定分析电路特性所需的分析内容和分析类型(也就是加入激励源和设置分析类 型); (3)定义电路的输出信息和变量。 Hspice规定了一系列输入,输出语句,用这些语句对电路仿真的标题,电路连接方式,组 成电路元器件的名称,参数,模型,以及分析类型,以及输出变量等进行描述。 一Hspice输入文件的语句和格式 Hspice输入文件包括电路标题语句,电路描述语句,分析类型描述语句,输出描述语句, 注释语句,结束语句等六部分构成,以下逐一介绍:

1 电路的标题语句 电路的标题语句是输入文件的第一行,也成为标题行,必须设置。它是由任意字母和字 符串组成的说明语句,它在Hspice的title框中显示。 2 电路描述语句 电路描述语句由定义电路拓扑结构和元器件参数的元器件描述语句,模型描述语句和电 源语句等组成,其位置可以在标题语句和结束语句之间的任何地方。(1)电路元器件 Hspice要求电路元器件名称必须以规定的字母开头,其后可以是任意数字或字母。除 了名称之外,还应指定该元器件所接节点编号和元件值。 电阻,电容,电感等无源元件描述方式如下: R1 1 2 10k (表示节点1 与2 间有电阻R1,阻值为10k 欧) C1 1 2 1pf (表示节点1 与2 间有电容C1,电容值为1pf) L1 1 2 1mh (表示节点1 与2 间有电感L1,电感值为1mh) 半导体器件包括二极管,双极性晶体管,结形场效应晶体管,MOS 场效应晶体管等, 这些半导体器件的特性方程通常是非线性的,故也成为非线性有源元件。在电路CAD工具 进行电路仿真时,需要用等效的数学模型来描述这些器件。 (a)二极管描述语句如下:

(完整版)HSPICE与CADENCE仿真规范与实例..

电路模拟实验专题 实验文档

一、简介 本实验专题基于SPICE(Simulation Program With Integrated Circuit)仿真模拟,讲授电路模拟的方法和spice仿真工具的使用。 SPICE仿真器有很多版本,比如商用的PSPICE、HSPICE、SPECTRE、ELDO,免费版本的WinSPICE,Spice OPUS等等,其中HSPICE和SPECTRE功能更为强大,在集成电路设计中使用得更为广泛。因此本实验专题以HSPICE和SPECTRE作为主要的仿真工具,进行电路模拟方法和技巧的训练。 参加本实验专题的人员应具备集成电路设计基础、器件模型等相关知识。 二、Spice基本知识(2) 无论哪种spice仿真器,使用的spice语法或语句是一致的或相似的,差别只是在于形式上的不同而已,基本的原理和框架是一致的。因此这里简单介绍一下spice的基本框架,详细的spice语法可参照相关的spice教材或相应仿真器的说明文档。 首先看一个简单的例子,采用spice模拟MOS管的输出特性,对一个NMOS管进行输入输出特性直流扫描。V GS从1V变化到3V,步长为0.5V;V DS从0V变化到5V,步长为0.2V;输出以V GS为参量、I D与V DS之间关系波形图。 *Output Characteristics for NMOS M1 2 1 0 0 MNMOS w=5u l=1.0u VGS 1 0 1.0 VDS 2 0 5 .op .dc vds 0 5 .2 Vgs 1 3 0.5 .plot dc -I(vds) .probe *model .MODEL MNMOS NMOS VTO=0.7 KP=110U +LAMBDA=0.04 GAMMA=0.4 PHI=0.7 .end 描述的仿真电路如下图,

课程设计任务书完整题目

课程设计任务书完整题目

————————————————————————————————作者:————————————————————————————————日期:

发电厂电气部分课程设计任务书 发电厂电气部分课程设计目的和要求 1.课程设计的目的: 发电厂电气部分课程设计是在学习电力系统基础课程后的一次综合性训练,通过课程设计的实践达到: (1)巩固“发电厂电气部分”、“电力系统分析”等课程的理论知识。 (2)熟悉国家能源开发策略和有关的技术规范、规定、导则等。 (3)掌握发电厂(或变电所)电气部分设计的基本方法和内容。 (4)学习工程设计说明书的撰写。 (5)培养学生独立分析问题、解决问题的工作能力和实际工程设计的基本技能。 2.课程设计的任务要求: (1)分析原始资料 (2)设计主接线 (3)计算短路电流 (4)电气设备选择 3.设计成果: (1)完整的主接线图一张 (2)设计说明书一份

发电厂电气部分课程设计说明书 1.前言(简要介绍本次设计任务的内容、设计的原则、依据和要求) 2.原始资料分析 3.主接线方案确定 3.1 主接线方案拟定(2~3个,小图) 3.2 主接线方案评定(可靠、灵活、经济) (本章要求在说明书中明确画出方案拟定示意图,针对图示可以从主接线的三个基本要求列表评价所初选的方案,最终得出结论,对可靠性的定量计算评价,不做要求)。 4.厂用电(所用电)接线设计 5.主变压器(或发电机)的确定 (确定主变压器(或发电机)的型号、容量、台数,列出技术参数表,说明变压器的相数、绕组数、冷却方式等,简要说明确定的理由,为下一章的短路电流计算做准备) 6. 短路电流计算 (画出短路电流计算用的等值阻抗图,注明短路点的选择,列出短路电流计算表,具体的阻抗变换过程、计算过程放在附录中。) 7.电气设备选择 (包括QF、QS、CT、PT、母线、电缆、馈线、电抗器等,按照参考资料积极推荐使用成熟的新产品,不得使用淘汰产品。按照主接线的电压等级,列出各级电压下的电气设备明细表,具体的设备选择及校验过程放在附录中) 8.继电保护和自动装置(本次不涉及) 9.防雷设计(本次不涉及) 10.配电装置(本次不涉及) 结论 结论是课程设计的总结,单独作为一章编写,是整个设计的归宿。要求准确阐述自己的创造性工作或新的见解及其意义和作用,还可进一步提出需要讨论的问题和建议。 参考文献:西北电力设计院.电力工程设计手册.中国电力出版社 熊信银.发电厂电气部分. 中国电力出版社 黄纯华.发电厂电气部分课程设计参考资料.中国电力出版社王荣藩.工厂供电设计与实验[M].天津大学出版社,1998,05 傅知兰.电力系统电气设备选择与计算,中国电力出版社 曹绳敏.电力系统课程设计及毕业设计参考资料. 中国电力出版社,1995

Hspice(中文实用版)

第一章概 论 §1.1 HSPICE简介 随着微电子技术的迅速发展以及集成电路规模不断提高,对电路性能的设计要求越来越严格,这势必对用于大规模集成电路设计的EDA工具提出越来越高的要求。自1972年美国加利福尼亚大学柏克莱分校电机工程和计算机科学系开发的用于集成电路性能分析的电路模拟程序SPICE (Simulation Program with ICEmphasis)诞生以来,为适应现代微电子工业的发展,各种用于集成电路设计的电路模拟分析工具不断涌现。HSPICE是MetaSoftware公司为集成电路设计中的稳态分析,瞬态分析和频域分析等电路性能的模拟分析而开发的一个商业化通用电路模拟程序,它在柏克莱的SPICE(1972年推出),MicroSim公司的PSPICE(1984年推出)以及其它电路分析软件的基础上,又加入了一些新的功能,经过不断的改进,目前已被许多公司、大学和研究开发机构广泛应用。HSPICE可与许多主要的EDA设计工具,诸如Candence,Workview等兼容,能提供许多重要的针对集成电路性能的电路仿真和设计结果。采用HSPICE软件可以在直流到高于100MHz的微波频率范围内对电路作精确的仿真、分析和优化。在实际应用中,HSPICE能提供关键性的电路模拟和设计方案,并且应用HSPICE进行电路模拟时,其电路规模仅取决于用户计算机的实际存储器容量。 §1.2 HSPICE的特点与结构 HSPICE除了具备绝大多数SPICE特性外,还具有许多新的特点,主要有: 优越的收敛性 精确的模型参数,包括许多Foundry模型参数 层次式节点命名和参考 基于模型和库单元的电路优化,逐项或同时进行AC,DC和瞬态分析中的优化 具备蒙特卡罗(Monte Carlo)和最坏情况(worst-case)分析 对于参数化单元的输入、出和行为代数化 具备较高级逻辑模拟标准库的单元特性描述工具 对于PCB、多芯片系统、封装以及IC技术中连线间的几何损耗加以模拟 在HSPICE中电路的分析类型及其内部建模情况如图1.2.1和图1.2.2所示:

TSPC锁存器的设计与HSPICE仿真设计

IC课程设计报告 题目 TSPC锁存器的设计与HSPICE仿真学院 专业 班级 学生姓名 日期 指导教师(签字)

HSPICE简介 SPICE(Simulator Program with Integrated Circuit Emphasis,以集成电路为重点的模拟程序)模拟器最初于20世纪70年代在berkeley开发完成,能够求解描述晶体管、电阻、电容以及电压源等分量的非线性微分方程。SPICE 模拟器提供了许多对电路进行分析的方法,但是数字VLSI电路设计者的主要兴趣却只集中在直流分析(DC analysis)和瞬态分析(transient analysis)两种方法上,这两种分析方法能够在输入固定或实时变化的情况下对节点的电压进行预测。SPICE程序最初是使用FORTRAN语言编写的,所以SPICE就有其自身的一些相关特点,尤其是在文件格式方面与FORTRAN有很多相似之处。现在,大多数平台都可以得到免费的SPICE版本,但是,往往只有商业版本的SPICE 才就有更强的数值收敛性。尤其是HSPICE,其在工业领域的应用非常广泛,就是因为其具有很好的收敛性,能够支持最新的器件以及互连模型,同事还提供了大量的增强功能来评估和优化电路。PSPICE也是一个商业版本,但是其有面向学生的限制性免费版本。本章所有实例使用的都是HSPICE,这些实例在平台版本的SPICE中可能不能正常运行。 虽然各种SPICE模拟器的细节随着版本和操作平台的不同而各不相同,但是所有版本的SPICE都是这样工作的:读入一个输入文件,生产一个包括模拟结果、警告信息和错误信息的列表文件。因为以前输入文件经常是以打孔卡片盒的方式提供给主机的,所以人们常常称输入文件为SPICE“卡片盒(deck)”,输入文件中的每一行都是一张“卡片”。输入文件包含一个由各种组件和节点组成的网表。当然输入文件也包含了一些模拟选项、分析指令以及器件模型。网吧可以通过手工的方式输入,也可以从电路图或者CAD工具的版图(layout)中提取。 一个好的SPICE“卡片盒”就好像是一段好的软件代码,必须具有良好的可读性、可维护性以及可重用性。适当地插入一些注释和空白间隔有助于提高“卡片盒”的可读性。一般情况下,书写SPICE“卡片盒”的最好方法就是:先找一个功能完备、正确的“卡片盒”范例,然后在此基础上对其进行修改。 二、要与要求 在两相时钟技术中,必须十分小心的对两个时钟信号进行布线以保证它们的

《培训课程开发流程管理规定》

四个大 课程确立 对流程图的说明: 1、培训课程的确立: 培训课程设置 包括培训需求调查、培训课程说明置、培训 果程的说 明3个环节。 1.1、培训需求调查 通过绩效考核、民主评议等方法确认员工现 课程内容设计调查 位或期望岗位要求应 具备的观念、技能与员工现实的观念和技能的差距。分析产生上述差距的原 确定课程大纲 岗集资 因,可通过培训解决的差距就是培训需求 卷调 查法、点 调查方法:访谈法L 团队初步开发 (编写讲义初稿) 调查对象:员工、直接上级、人力资源 参与人员:文化与培训部策划处、课程 1.2、培训课程设置:由文化与培训部 根据调查得到 $培训需求结果, 1.3、课程说明:由文化 培训对象、参训人数、课时、帅资、课程开; 培对培训 II 课程进行说试 讲 包括对课程目标、 发策略(讲行开发、外部引进、 或与外部培训机构合彳 ―、 课程归档 2、课程开发: 包括课程内容设计调查、搜集资料、确定课程大纲、初步 课程开发流程规范 为“加强员工培训,提高员工综合素质水平” ,加强公司培训体系建设,规范培训 课程的开发与设计工作,加强公司培训工作的规范化、制度化,特制订本规范。 一、课程开发流程 培训课程开发流程主要包括: 课程确立、课程开发、课程评估、课程归档 的阶段,每个阶段又包括具体的、更详细的环节。流程图如下所示: 培训需求调查 、部项门总经讨、总裁室成员。 开发处、实施支持处全体人员, 部门 培训负责人、培训专岗,讲师,咨询公 ____________ 对特定对象的培训需求设置相应的培训课程 所需资源(培训设备、冻料、成本预算)等 -------- 已归档的课程定期更新 开发(编写讲义初稿)、专项小组研讨、编写课程资料 6个环节。 2. 1课程内容设计的调查: 通过调查,进一步了解学员的问题和期望、 方面的说明。

hspice语法手册

Hspice语法手册 天津大学电信学院 陈力颖

Preface 最初写作本文的目的是希望提供一份中文版的Hspice手册从而方便初学者的使用,本文的缘起是几位曾经一起工作过的同事分别进入不同的新公司,而公司主要是使用Hspice,对于已经熟悉了Cadence的GUI界面的使用者转而面对Hspice的文本格式,其难度是不言而喻的,而Hspice冗长的manual(长达2000页以上)更让人在短时间内理不出头绪。鉴于我曾经使用过相当一段时间的Hspice,于是我向他们提供了一份简单而明了的handbook来帮助他们学习,本来是准备借助一个具体运放的设计例子,逐步完善成为一份case by case的教程,但由于工作比较浩大,加之时间的关系,一直难以完成,愈拖愈久,在几个朋友的劝说下,与其等其日臻完善后再发布,不如先行发布在逐步完善,以便可以让更多的朋友及早使用收益。本文虽通过网络发表,但作者保留全部的著作权,转载时务请通知本人。由于水平的有限,讨论范围的局限及错误不可避免,恳请读者指正。联系方式为e-mail: nkchenliy@https://www.360docs.net/doc/d85330550.html,。

目录 一、HSPICE基础知识 (2) 二、有源器件和分析类型 (3) 三、输出格式和子电路 (4) 四、控制语句和OPTION语句 (6) 五、仿真控制和收敛 (7) 六、输入语句 (8) 七、统计分析仿真 (9) 天津大学电信学院 陈力颖 2006年2月

一、HSPICE基础知识 Avant! Start-Hspice(现在属于Synopsys公司)是IC设计中最常使用的电路仿真工 具,是目前业界使用最为广泛的IC设计工具,甚至可以说是事实上的标准。目前,一 般书籍都采用Level 2的MOS Model进行计算和估算,与Foundry经常提供的Level 49 和Mos 9、EKV等Library不同,而以上Model要比Level 2的Model复杂的多,因此 Designer除利用Level 2的Model进行电路的估算以外,还一定要使用电路仿真软件 Hspice、Spectre等进行仿真,以便得到精确的结果。 本文将从最基本的设计和使用开始,逐步带领读者熟悉Hspice的使用,以便建立   IC设计的基本概念。文章还将对Hspice的收敛性做深入细致的讨论。 Hspice输入网表文件为.sp文件,模型和库文件为.inc和.lib,Hspice输出文件有运 行状态文件.st0、输出列表文件.lis、瞬态分析文件.tr#、直流分析文件.sw#、交流分析 文件.ac#、测量输出文件.m*#等。其中,所有的分析数据文件均可作为AvanWaves的 输入文件用来显示波形。 表1 Hspice所使用的单位 单位缩写含义 F(f) 1e-15 P(p) 1e-12 N(n) 1e-10 U(u) 1e-06 M(m) 1e-03 K(k) 1e+03 Meg(meg) 1e+06 G(g) 1e+09 T(t) 1e+12 DB(db) 20log10 注:Hspice单位不区分大小写 独立电压和电流源包括: 1. 直流源(DC):

校企合作开发课程 (1)

以职业能力需求为基础的校企合作开发课程职业能力需求的校企课程开发是指以提高从事某一职业的专门技术和综合能力为根本出发点,他是校企联合进行课程开发的基础。 这种以职业能力为需求的校企合作开发课程具备以下几个特点: 1、以职业能力为导向的课程价值取向。 2、关注个体、企业、行业三个层面的需求。 3、课程围绕职业分析进行设计。 通信技术专业依托现有的校企合作基础,以职业能力需求为基础共同开发课程,主要体现在:教学师资、教材选取、教学内容、教学方式及考核方式几个方面。下面以光传输技术为例,来说明下校企合作开发课程的具体过程及特点。 一、教学团队的组建 以往的授课方式,以理论为主,同时授课的主体为学院的教师。但是这样的教学团队并不能适应高职教育的特点。因此在进行教学团队组建时,吸纳有丰富工程经验的企业教师加入。这些教师的加入能带来行业中最新的操作规程及方法。同时这些教师也能带来课程所需的项目资料运用于实际的教学过程中。 二、教材的选取 教材作为重要的教学资料,必须要适应高职教育的特点以及实际授课中所采用的教学内容和教学方法。由于采用的是分情境的任务式驱动教学,必须要有对应工作场景和工作任务将课程的内容进行贯穿和组织。基于以上的考虑,采用的是由中兴公司开发的任务驱动式教材,这本教材改变了以往的先理论,后实践的

教学模式。将理论和实践融合进具体的项目中。 三、教学内容 在对具体教学内容选取时,选取了一个光传输网络由组建到维护的过程,将这个过程划分成三个情境,再将任务情境划分成不同的工作任务,每个工作任务对应一个到多个单项工作任务,而每个单项工作任务对应学生必须要掌握的一项职业岗位能力。

电路原理图设计及Hspice仿真

电路原理图设计及Hspice仿真 实验报告 学生姓名: 学号: 指导老师: 实验内容: 用EDP原理图设计软件设计出两级运算放大器的电路图 用Hspice软件完成此两级运算放大器的仿真 实验地点:***实验室 实验时间:2009年9月——2009年12月

实验任务: 根据运算放大器的设计要求(单位增益带宽、相位裕量、输入等效噪声、功耗等),选择电路结构,详细分析了CMOS 运算放大器的所有性能参数,使用Level one 模型进行手工计算,设计出器件的几何尺寸,最后通过Hspice 仿真软件给出了性能指标的仿真结果。 实验思路: 两级运放可以同时实现较高增益和较大输出摆幅,其设计思路是将增益和摆幅要求分别处理,而不是在同一级中兼顾增益与摆幅。即运用第一级放大器得到高增益,可以牺牲摆幅,第二级放大器主要实现大输出摆幅,以补偿第一级牺牲的摆幅,并进一步提升增益,从而克服了单级运放增益与摆幅之间的矛盾,同时实现高增益和大摆幅。 实验指标: 开环增益≥80DB; 共模抑制比≥60DB; 相位裕度≥60°; 实验步骤: 一、用EDP原理图设计软件设计两级运算放大器的电路图,电路图如图一所示: 图一:CMOS两级运算放大器电路图 1、电路工作原理: 信号由差分对管两端输入,差模电压被转化为差模电流,差模电流作用在电流镜负载上又转化成差模电压,信号电压被第一次放大后被转化为单端输出,随即进入共源级再一次被放大后从漏端输出。电路特点是通过两级结构可以同时满足增益和输出摆幅的要求,即第一级提供高增益,可以牺牲摆幅,第二级弥补摆幅,同时进一步增大增益。 2、电路主体结构 由两个两个单级放大器构成,分别是:差分输入级和共源增益级。辅助电路为偏置电路和频率补偿电路。差分输入级采用PMOS 输入对管,NMOS 电流镜负载;共源级采用NMOS 放大管,PMOS 负载管;由六个MOS 管和一个电阻构成的电流源为两级放大电路提供偏置,另外还为频率补偿MOS 管提供偏压;一个NMOS 管和一个电容构成频率补偿电路,连接在共源级的输入输出之间作为密勒补偿。图一中分别命名为M1到M13。

CMOS实验课1HSPICE介绍

HSPICE介绍 1、为什么要使用Hspice进行电路仿真 Avant! Star_Hspice(Synopsys公司)是IC设计中最长用的仿真工具,是目前业界使用最为广泛的IC设计工具,甚至可以说是事实上的标准。目前,一般的书籍中都采用比较简单的MODEL对MOS 电路进行计算和估算。而工艺厂商提供的MODEL往往要高级的多、复杂的多。因此设计者除了利用书本上的公式对电路进行估算外,还需要使用更高级的MODEL对电路进行精确的仿真,这就有赖于仿真工具的使用,如Hspice,Spectre。 2、Hspice仿真的流程

3、Hspice所使用的单位(不区分大小写) 4、输入文件格式(.net /.sp)

5、电路元器件在Hspice文件中的表示方法 在器件名字前面加上前缀字符,即可被Hspice程序识别,如:MOS器件前缀为:M BJT器件前缀为:Q Diode器件前缀为:D 子电路的前缀为:X 电阻、电容、电感的前缀分别为R、C、L 下面表示一个器件名为M1的MOS管 MM1 ND NG NS NB MNAME L=VAL W=VAL M=VAL 下面表示一个器件名为C1的电容 CC1 net1 net2 1pf 定义子电路的语句如下: .SUBCKT SUBNAM(子电路的名字) 1 2 3 4(子电路外部节点)例子: .SUBCKT 2NAND 1 2 3 (描述电路结构) .ENDS 2NAND 调用子电路时,使用X前缀加实例名,将SUBCKT实例化,如: .XOPAMP1 4 5 6 OPAMP 6、信号源描述(激励描述): 电压源-V,电流源-I Vxxx/Ixxx n+ n- < dcval> > +

一体化课程开发框架

附件 一体化课程开发技术规程 (试行) 目录 1.一体化课程概念与开发原则 1 1.1一体化课程概念 1 1.2一体化课程开发原则 1 2.一体化课程内容结构与方案编写体例 1 2.1一体化课程内容结构 1 2.2一体化课程方案编写实例 2 3.一体化课程开发程序 5 3.1职业与工作调研分析 5 3.2典型工作任务提炼7 3.3一体化课程框架确立8 3.4一体化课程方案制定9 3.5一体化课程资源建设10 附件11 1.一体化课程概念与开发原则 1.1一体化课程概念 一体化课程是按照经济社会发展需要和技能人才培养规律,根据国家职业标准,以综合职业能力为培养目标,通过典型工作任务分析,构建课程体系,并以具体工作任务为学习载体,按照工作过程和学习者自主学习要求设计和安排教学活动的课程。 一体化课程体现理论教学和实践教学融通合一,专业学习和工作实践学做合一,能力培养和岗位对接合一的特征。 1.2一体化课程开发原则

1.2.1科学性原则 课程开发要以就业为导向,遵循技能人才成长和职业发展规律,充分体现职业特征,满足学生职业生涯发展需要。 1.2.2梯次性原则 课程开发要符合国家职业标准等级要求,形成以中级工、高级工、预备技师为主的人才培养课程层次。 1.2.3可操作性原则 课程开发要尊重技工院校办学现状和地域特征差异,各项要求力求具体、明确、清晰,目标可度量、可检验。 1.2.4规范性原则 开发课程所用的术语、符号、体例等应符合国家有关标准、技术规范和约定俗称的表述,内容、结构、格式、表达形式应符合本规程的要求。 2.一体化课程内容结构与方案编写体例 2.1一体化课程内容结构 一体化课程由一体化课程方案和一体化课程资源两部分构成,其内容与结构见图1.

完整版HSPICE与CADENCE仿真规范与实例

电路模拟实验专题 实验文档 一、简介 Simulation Program With Integrated Circuit)仿真模拟,SPICE(本实验专题基于讲授电路模拟的方法和spice仿真工具的使用。 SPICE仿真器有很多版本,比如商用的PSPICE、HSPICE、SPECTRE、ELDO,免费版本的WinSPICE,Spice OPUS等等,其中HSPICE和SPECTRE功能更为强大,在集成电路设计中使用得更为广泛。因此本实验专题以HSPICE和SPECTRE作为主要的仿真工具,进行电路模拟方法和技巧的训练。 参加本实验专题的人员应具备集成电路设计基础、器件模型等相关知识。 二、Spice基本知识(2) 无论哪种spice仿真器,使用的spice语法或语句是一致的或相似的,差别只是在于形式上的不同而已,基本的原理和框架是一致的。因此这里简单介绍一下spice的基本框架,详细的spice 语法可参照相关的spice教材或相应仿真器的说明文档。

首先看一个简单的例子,采用spice模拟MOS管的输出特性,对一个NMOS管进行输入输出特性直流扫描。V从1V变化到3V,步长为0.5V;V从0V变化到5V,步长为DSGS0.2V;输出以V为参量、I与V之间关系波形图。DSGSD *Output Characteristics for NMOS M1 2 1 0 0 MNMOS w=5u l=1.0u VGS 1 0 1.0 VDS 2 0 5 .op .dc vds 0 5 .2 Vgs 1 3 0.5 .plot dc -I(vds) .probe *model .MODEL MNMOS NMOS VTO=0.7 KP=110U +LAMBDA=0.04 GAMMA=0.4 PHI=0.7 .end 描述的仿真电路如下图, 图2-1 MOS管输入输入特性仿真电路图 得到的仿真波形图如下图。 程序中可以知道spice电路描述的主要组成部分。从这个简单的spice 标题和电路结束语句(1)在输入的电路描述语句中输入的第一条语句必须是标题语句,最后一条必须是结束语句。在本例中, ←标题*Output Characteristics for NMOS ……. ……结束语句←.end 2电路描述语句)(器件模型等描述,另激励源、电路描述语句描述电路的组成和连接关系,包括元器件、外,如果电路是层次化的,即包含子电路,电路描述部分还包括子电路描述(。).subckt元器采用不同的关键字作为元件名的第一个字母,要根据类型,在描述元器件时,NMOS件关键字见下表。如本例中,管的描述为:M1 2 1 0 0 MNMOS w=5u l=1.0u 表示的意思为: 元器件关键字x D G S B 模型名宽=xx 长=xx 其中D:漏结点;G:栅结点;S:源结点;B:衬底结点。

计算机网络课程设计方案任务与要求

计算机网络课程设计任务与要求 一、课程目标 深入理解计算机网络基本原理,将书本上抽象的概念与具体的实现技术相结合,体会网络协议的设计与实现过程,以及专业技术人员所使用的基本方法和技巧;熟悉相关应用开发工具,掌握网络协议应用开发技术;进一步熟悉网络设备,学习组网技术,掌握网络规划与设计的基本方法;培养一定的自学能力和独立分析问题、解决问题的能力;对设计中遇到的问题,能通过独立思考、查阅资料、参考文献,寻求解决方案。 二、设计题目说明 设计题目设置上,采取了分类、分级的形式。 分5个类别,分别是:网络协议或算法、网络服务、组网设计、网络应用编程、理论与应用研究。 分3个级别,从高至低分别是A、B、C。题目的级别综合依据创新性、综合性、实用性、难度等因素,不同级别,成绩起评分数有别。 A级题目<良-优):较难;综合性强、有明显或突出的创新、探究性较强、实际课题或项目的一部分<实用性);工作量非常饱满;富有建设性;有很强的分析问题与解决问题的能力。 B级题目<中-良):难度适中;工作内容侧重于设计或研究或较高水平应用;有一定综合性、有一定创新、有一定实用性;工作量饱满;有较强的分析问题与解决问题的能力。 C级题目<及格-中):难度不大或偏小;工作内容侧重于验证或学习或简单应用;基本上无创新;工作量不大;有一定的分析问题与解决问题的能力。 三、任务完成形式 <1)交付课程设计说明书<纸质+电子版) <2)通过现场验收或答辩 <3)交付完整的设计作品<或能体现自己工作的视频课件) 对于第一、四类题目,必须交付设计作品,设计作品指的是完整的源程序代码、相关数据文件; 对于第三类题目,必须交付设计作品,设计作品指的是网络拓扑文件、网络仿真配置文件; 对于第二、五类题目,必须交付能体现自己工作的视频课件,要求有一定的文字或声音解说。 课程设计说明书<纸质+电子版),对于对于第一、四类题目,内容包括:设计任务、系统分析、总体设计、详细设计<相应地给出关键的代码)、设计总结<评价/遇到的问题/体会/建议等)、使用说明等。 四、工作计划与进度安排 根据所选题目,合理安排进度计划<不包含查资料时间)。 以下仅供参考: 1. 原理知识 2. 实用技术<编程、或组网、服务器技术) 3. 环境与工具<系统平台、开发或仿真工具) 4. 总体设计、关键环节的详细设计 5. 系统实现<编码与调试、或配置与测试) 6. 课程设计说明书 五、成绩评定 总评成绩=平时成绩x20﹪+验收或答辩成绩x50﹪+报告成绩x30﹪

ASIC课程设计MOS输出级电路设计与Hspice仿真

ASIC课程设计MOS 输出级电路设计与Hspice仿真

目录 一.背景介绍................................... 错误!未定义书签。二.设计要求与任务................................ 错误!未定义书签。三.电路原理及设计方法............................ 错误!未定义书签。1.电阻负载共源级放大器电路原理分析..............错误!未定义书签。2.有源负载共源放大器设计方法....................错误!未定义书签。四.HSpice软件环境概述............................ 错误!未定义书签。1.简介 .........................................错误!未定义书签。2.特点 .........................................错误!未定义书签。3.界面预览 .....................................错误!未定义书签。五.设计过程...................................... 错误!未定义书签。六.结果和讨论.................................... 错误!未定义书签。七.设计心得...................................... 错误!未定义书签。八.库文件程序附录................................ 错误!未定义书签。

Hspice 常见si仿真子电路集锦

Hspice常见子电路集锦 TDR_differential source: .subcktTDR_SOURCE+Ro+Cable D+_SOURCE D-_SOURCE Vin1 1 0 pulse(0 1 0 100e-12) *positive source voltage Rin1 1 2 50 * positive source voltage internal resistance T1 2 0 D+_SOURCE 0 Zo=50 Td=200e-12 *TDR positive port 50ohm cable Vin2 4 0 pulse(0 -1 0 100e-12) *negative source voltage Rin2 4 5 50 * negative source voltage internal resistance T2 5 0 D-_SOURCE 0 Zo=50 Td=200e-12 *TDR negative port 50ohm cable .ends * TDR_differential termination .subcktTDR_Termination_R D+_T_R D-_T_R RD+ D+_T_R 0 50 RD- D-_T_R 0 50 .ends 统计眼图分析步骤: *Incident port definitions p1tx_in+ tx_in- 0 port=1 p2 in 0 port=2 Probe port definitions p3rxout+ rxout- 0 port=3 p4 out 0 port=4 Analysis statement .stateye T = 400p trf=20p + incident_Port= 1, 2 + probe_port = 3, 4 + Rj = 5p, 5p, 2p, 2p tran_init = 50 + T_resolution = 300 V_resolution = 300 Print, probe, and measure statements .print stateyeeye(4) .print stateyeber(3) .print stateyebathtubV(3, 0.9) .print stateyebathtubT(4, 1n) .probe stateyeeye(4) .probe stateyeber(3) .probe stateyebathtubV(3, 0.9)

java课程设计任务与指导

课程设计任务与指导 学习计算机语言,是为了能够编写程序,实现一个完整的系统。课程设计就是一次完整的系统开发过程,它与穿插在课程中的程序设计的不同不仅仅表现在任务的大小、代码量的多少,更主要的是表现在课程设计的系统性上。所以课程设计不但是课程的延续,还是对课程所学知识的系统化。 为了能够更加系统化的完成课程设计,本附录中给出的课程设计任务适宜集中在安排1~2周时间。课题的精简和扩展可随课程设计安排的时间和个人设计能力而定。 一、考核评估 通过设计答辩方式,结合学生的动手能力、独立分析问题、解决问题的能力、创新精神、课程设计报告、答辩水平以及学习态度进行综合考评。成绩分优、良、中、及格和不及格五等。参考比例如下: (1)设计报告和答辩30%。 (2)综合编程能力及独立解决问题能力50%。 (3)学习态度与创新能力20%。 二、课题要求 (1)对系统进行功能模块分析、功能模块分析正确; (2)系统设计要有经济价值,要实用; (3)编程简练,可用,功能全面,具有较好的健壮性; (4)系统界面外观和布局,可个性化设计,总体原则是“界面简洁、操作便捷”; (5)说明书、流程图要清楚; (6)应用程序打包发布。 三、课程设计任务布置 1、问题的提出 随着计算机的普及,将通讯方式保存在计算机内已代替了纸质的通讯录。通讯录保存在计算机中,便于分组,还便于增加、修改、删除好友信息。 本次课程设计是开发一个简易的、小型的通讯录,我们命名为“通讯录”,主界面如图A-1所示。菜单项如图A-2、A-3所示

】= 图A-1 “通讯录”主界面 图A-2 “组操作”菜单 图A-3 “好友操作”菜单 2、课题名称 本次课程设计的课题名称是——《“通讯录”系统》的设计和实现 3、设计要求 (1)基本设计要求如下: a、“通讯录”系统使用Java语言开发设计。课题的功能包括:好友分组、增加组、 删除组、修改组名、查询好友、增加好友、删除好友、修改好友。所有的操作必须 进行确认。

以典型工作任务为导向的课程开发

以典型工作任务为导向的课程开发 本文针对职业教育的“就业教育”特点,结合计算机软件专业培养目标的实际,以网站建设与维护岗位为背景,介绍了“以典型工作任务为导向的课程开发”的过程,提出了适用于网站建设与管理的课程开发方案。 关键词:典型工作任务;导向;课程开发 1引言 根据教育部教高[2006]16号文精神,结合首钢工学院计算机系模块化教学改革的实际,围绕课程建设与改革这一中心,在计算机系开展以“突出职业能力培养为目标”的课程体系开发实践,目的是通过全新的课程体系架构,从根本上解决高等职业教育不能适应市场需要的陈旧教学观,主动适应市场对人才培养的需求,全面提高学生的职业素质和职业能力,为学生的未来服务社会打下坚实的基础,为高职教育的教学改革探索一条新路。 世界上公认的工业和制造业大国德国和日本,无不具有发达的职业教育体系作为坚强的后盾,其中德国“双元制”的职业教育体制,“校企合作”、“工学结合”的职业教育办学模式和培养方式,是当今职业教育的发展方向,而教学改革的重点和难点是适合高等职业教育特点的课程体系建设和课程开发,目标是打破原有的“学科体系”,构建工作过程系统化课程中职业行动的整体性,即在计算机系软件专业中进行“以工作过程为导向的计算机课程体系开发”的教研立项和教学研究,经过一年的实践,取得了初步成果。 2课程开发的准备 2007-2008学年度第一学期,通过学习、调研、听专家讲座以及参加培训的方式对于以工作过程为导向的课程及课程体系开发进行改革思路的观念更新;同时组织教师参加以技能为基础的相关技能培训,提高教师的实践技术能力。2007-2008学年度第二学期,结合计算机应用技术专业毕业生的就业岗位群――网站建设和管理,走访了大量计算机网站建设与网络管理行业、企业,与具有丰富经验的实践专家,进行了大量毕业生就业知识、技能需求的市场调研,获得了宝贵的第一手资料,明确了计算机网站建设与管理岗位的应知应会,为后续的“计算机系以工作过程为导向的实践专家访谈会”的举行奠定了基础。

相关文档
最新文档