数字电路期末知识点复习题_图文.

数字电路期末知识点复习题_图文.
数字电路期末知识点复习题_图文.

数字电子电路复习练习题

一、填空题

1.半导体具有三种特性,即:热敏性、光敏性和_________性。

2.集电极反向饱和电流I CBO

是指发射极_________时,集电极与基极之间加反向电压时测得的集电极电流,良好的三极管该值较_________。

3.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数?F 。

4.格雷码又称________码,其特点是任意两个相邻的代码中有_______位二进制数位不同。

5.从TTL 反相器的输入伏安特性可以知道两个重要参数,它们是____________和____________。

6. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。

7.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。

8. 时序电路除了包含组合

电路外,还必须包含具有记忆功能的_________电路。因此,仅用一般的逻辑函数描述时序电路的逻辑功能是不够的,必须引进_________ 变量。

9.要使触发器实现异步复位功能(Q

n+1

=0,应使异步控

制信号(低电平有效?R D =___________,

?S D =___________。

10.JK

触发器当

J =K =________时,触发器Q n+1=?Q n 。

11.n 位二进制加法计数器有_________个状态,最大计数值为_________。

12.用555定时器构成的单稳态触发器,若充放电回路中的电阻、电容分别用

R 、C 表示,则该单稳态触发器形成的脉冲宽度t w ≈____________。

13.施密特触发器具有两个_________状态,当输出发生正跳变和负跳变时所对应的_________电压是不同的。

14.组成ROM 电路中的输出缓冲器一般由三态门组成,其作用一是实现对输出状态的______________控制,二是提高带负载能力。

15.当RAM 的字数够用、

位数不够用时,应扩展位数。其方法是将各片

RAM

____________端、R/?W 端和CS 端并联起来即可。二、选择题

1.与晶体三极管组成的电路相比,MOS 管组成电路的主要特点是 _________ 。

a .电流控制;

b .输入电阻高;

c .带负载能力强

2.下列数码均代表十进制数6,其中按余3码编码的是_________。

a .0110;

b . 1100;

c .1001

3. 已知逻辑函数Y=AB+A ?B+?A ?B ,则Y 的最简与或表达式为

____________。

a .A ;

b .A+?A ?B ;

c . A+?B ;

d .?A+B

4.TTL 与非门扇出系数的大小反映了与非门___________能力的大小。

a .抗干扰;

b .带负载;

c . 工作速度

5. 如果采用负逻辑分析,正或门即____________。

a .负与门;

b .负或门;

c .或门 6.七段显示译码器,当译

码器七个输出端状态为abcdefg=0011111时(高点平有效,译码器输入状态(8421BCD 码应为____________。

a.0011;

b.0110;

c.0101;

d.0100

7.一个8选1数据选择器,其地址输入端(选择控制输入端的个数应是_________个。

a.2;

b.3;

c.4;

d.8

8.要实现输入为多位、输出为多位的功能,应选用中规模集成___________组件。

a.编码器;

b.译码器;

c.数据选择器;

d.数值比较器

9.对于J-K触发器,若J=K,

则可完成_________触发器

的逻辑功能。

a.R-S;

b.D;

c.T;

d.J-K

10.3个移位寄存器组成的扭环形计数器,最多能形成____________个状态的有效循环。

a.3;

b.4;

c.6;

d.8

11.555定时器输入端U I1

端(管脚6、U I2端(管脚2

的电平分别大于

3

2

U DD和

3

1

U DD时(复位端?R D=1,定时器的输出状态是_________。

a.0 ;

b.1 ;

c.原

状态

12.555定时器构成的单稳

态触发器的触发电压u i应

____________U DD。

a.大于;

b.小于;

c.等于;

d.任意

13.只读存储器ROM的功

能是____________。

a.只能读出存储器的内容

且断电后仍保持;b.只

能将信息写入存储器;

c.可以随机读出或写入

信息;d.只能读出存储器

的内容且断电后信息全丢失14.用_________片1k?4 的ROM可以扩展实现8k?4 ROM 的功能。

a.4;

b.8;

c.16;

d.32

三、简述题。

1.最小项的性质。

2.组合电路产生竞争冒险

的原因及常用的消除竞争冒险的方法。

3.用中规模集成计数器构

成任意进制计数器的三种方法及各自的原理。

四、分析、设计、化简题

4.1将下列逻辑函数化简

成最简与或表达式。

(1

Y1=A?B?C+?A?B+?AD+C+BD

(用公式法

(2

Y2=AB?C+AB?D+?ABC+AC?D

(?B?C+?BD=0

(3Y3(A,B,C,D=∑

m

(2,3,7,8,11,14+∑ d(0,

5,10,15

4.2TTL电路如图4.2 (a所示,写出输出Y的逻辑表达式,试根据图(b的波形画出输出Y的波形。

(a (b

图4.2

4.3 试用以下几种组件分别实现逻辑函数F = AB + AC + BC

(1四选一数据选择器(四选一数据选择器的逻辑功能见式4.3.1; (23线-8线译码器T4138(逻辑功能见式4.3.2;

数据选择器和译码器的外部引线排列示意图分别见图4.3.1和图4.3.2, T4138选通时,S 1=1,?S 2

=?S 3=0。

Y=(D 10?A 2?A 1+ D 11?A 2A 1+ D 12A 2?A 1+ D 13A 2A 1S ( 式4.3.1

( 式4.3.2

图4.3.1 图4.3.2

4.4 分析图4.4电路,2线—4线译码器的功能表达式见式4.4。 (1写出输出F 的表达式; (2填表4.4;

(3说明图4.4电路的功能。

Y 0=?A 1?A 0, Y 1=?A 1A 0, Y 2=A 1?A 0, Y 3=A 1A 0 (式4.4

图4.4

4.5 两片3线-8线译码器连成的电路如图4.5所示。3线-8线译码器T4138逻辑功能表达式见式4.5,正常工作时S 1=1,?S 2=?S 3=0。分析电路,填写真值表(见表4.5,说明电路功能。

A 1 A 0 F 0 0 0 1 1 0 1 1

表4.4

图 4.5

(式4.5表4.5

输入输出输入输出

D3D2 D1 D0?Y0?Y1?Y2?Y3?Y4?Y5?Y6?Y7D3D2 D1

D0?Y8?Y9?Y10?Y11?Y12?Y13?Y14?Y15

0 0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1 1 0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

4.6 电路如图4.6所示,图中① ~ ⑤均为2线—4线译码器。

1.欲分别使译码器① ~ ④处于工作状态,对应的C、D应输入何种状态(填表4.6.1;

2.试分析当译码器①工作时,请对应A、B的状态写出?Y10 ~ ?Y13的状态(填表4.6.2;

3.说明图

4.6电路的逻辑功能。

2线—4线译码器的功能见式4.6,工作时?S = 0。

(式4. 6

图4.6 表4.6.1 表4.6.2

处于工作状态的译码器 C D 应输入的状态 C D A B

?Y 10 ?Y 11 ?Y 12 ?Y 13

①②③④

0 0 0 1 1 0 1 1

4.7 触发器电路如图4.7 (a 所示,写出触发器输出端Q 的表达式并根据图 (b 给定的波形 ,对应画出各输出端Q 的波形。设各触发器的初始状态均为“0”。

(a (b

图4.7

4.8 触发器电路如图4.8(a 所示,写出触发器输出Q 的表达式并根据图 (b 给定的波形 ,对应画出各输出端Q 的波形。设各触发器的初始状态均为“0”。

(a

(b

图4.8

4.9 触发器电路如图4.9 (a 所示,写出触发器输出端Q 的表达式并根据图 (b 给定的波形,对应画出各输出端Q 的波形。设各触发器的初始状态均为“0”。

(a

(b 图4. 9

4.10 十进制计数器T4160构成的计数器电路如图4. 10所示。T4160的功能见表4. 10。 (1分析该电路是几进制计数器,画出状态转换图; (2若改用复位法,电路该如何连接,画出连线图。表4.10 CP ?R D ?LD S 1 S 2 工作状态图4. 10

?↑

??↑ 0 ? 1 0

1 1 1 1 1 1

???? 0 1 ? 0 1 1 清零预置数保持(包括C 保持(C =0 计数

4.11 电路如图4.11所示。3线-8线译码器的功能表达式参见式4.5,十进制计数器的功能参见表4.10。

(1说明虚线框内的电路为几进制计数器,画出状态转换图; (2说明整个电路实现什么功能。

图4.11

4.12 由4位同步二进制计数器T4161组成的电路如图4.12,T4161的功能参见表4. 10。试求:

(1当预置数输入端D3D2D1D0分别为0011和0101时,计数器的计数进制各为多少?

(2画出两种情况下的状态转换图。

图4.12

4.13分析图4.13计数器电路的功能,分别写出M =1和M =0时 LD的表达式,说明当M =1和M =0时电路的进制。T4161为四位二进制加法计数器,其功能参见表4.10。

图4.13

4.14试用四位二进制加法计数器T4161芯片构成十三进制加法计数器,其状态转换图见图4.14(a, T4161的外部引线排列见图4.14(b、功能参见表4.10。

(a (b

图4.14

4.15电路如图4.15所示。分析电路,说明它是几进制加(减法计数器,画出状态转换图。如果要使电路实现相应的逆运算,电路应如何连接,画出电路连接图。T4191是四位同步可逆计数器,其功能见表4.15所示。表4.15

?S ?LD M CP

工作状态

图4.15

0 1 0 ↑ 0

1 1 ↑ ? 0 ?? 1 1 ??

加法计数减法计数预置数保持

4.16 555定时器见图4.16(a 所示。

(1试用图(a 所示的555定时器构成一个施密特触发器,画出连线图; (2定性画出该施密特触发器的电压传输特性;

(3若电源电压U cc=6V ,输入电压为图(b 所示的三角波,对应画出输出u o 的波形。

(a (b

图4.16

4.17 试用图4.17 的ROM 设计一个全加器,全加器的真值表见表4.17,写出输出

F 1 F 0的表达式,并在其输出交叉点上标出连接状态图。

图4. 17

表4. 17

A 2A 1A 0

F 1 F 0

A 2A 1A 0

F 1 F 0

000 001 010 011

0 0 1 0 1 0 0 1 100 101 110 111

1 0 0 1 0 1 1 1

4.18 分析图4.18所示电路功能,对应CP 画出Q A 、Q B 、Q C 和Y 的波形,设触发器的初始状态为0。八选一数据选择器的功能见式4.18。

Y=?A 2?A 1?A 0D 0+?A 2?A 1A 0D 1+?A 2A 1?A 0D 2+?A 2A 1A 0D

3+A 2?A 1?A 0D 4+A 2?A 1A 0D 5+A 2A 1?A 0D 6

+A 2A 1A 0D 7

(式4.18

图4.18

习题参考答案一、填空题

1.掺杂;

2.开路,小;

3.与、或运算, 0、1,原变量、反变量;

4.循环,一;

5.输入短

路电流,输入漏电流; 6.2n ;

7.(低位进位信号;

8.存储,时间;9.0,1;

10.1;

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路期末总复习知识点归纳详细

第1章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A=?1A A+1=1与00=?A A A +=1与A A ?=0 2)与普通代数相运算规律 a.交换律:A+B=B+A b.结合律:(A+B)+C=A+(B+C) c.分配律:)(C B A ??=+?B A C A ? ))()(C A B A C B A ++=?+) 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A B A ?=+,B A B A +=? b.关于否定的性质A=A 二、逻辑函数的基本规则

代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C B A C B A ⊕?+⊕? 可令L=C B ⊕ 则上式变成L A L A ?+?=C B A L A ⊕⊕=⊕ 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1=+A A 或A B A B A =?=?, 将二项合并为一项,合并时可消去一个变量 例如:L=B A C C B A C B A C B A =+=+)( 2)吸收法 利用公式A B A A =?+,消去多余的积项,根据代入规则B A ?可以是任何一个复杂的逻辑式 例如 化简函数L=E B D A AB ++ 解:先用摩根定理展开:AB =B A + 再用吸收法 L=E B D A AB ++ =E B D A B A +++ =)()(E B B D A A +++ =)1()1(E B B D A A +++ =B A + 3)消去法 利用B A B A A +=+ 消去多余的因子

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数字电路期末知识点复习题

数字电子电路复习练习题 一、填空题 1.半导体具有三种特性,即:热敏性、光敏性和_________性。 2.集电极反向饱和电流I CBO 是指发射极_________时,集电极与基极之间加反向电压时测得的集电极电流,良好的三极管该值较_________。 3.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数?F 。 4.格雷码又称________码,其特点是任意两个相邻的代码中有_______位二进制数位不同。 5.从TTL 反相器的输入伏安特性可以知道两个重要参数,它们是____________和____________。 6. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 7.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 8. 时序电路除了包含组合 电路外,还必须包含具有记忆功能的_________电路。因此,仅用一般的逻辑函数描述时序电路的逻辑功能是不够的,必须引进_________ 变量。 9.要使触发器实现异步复位功能(Q n+1=0),应使异步控制信号(低电平有效)?R D =___________, ?S D =___________。 10.JK 触发器当 J =K =________时,触发器Q n+1=?Q n 。 11.n 位二进制加法计数器有_________个状态,最大计数值为_________。 12.用555定时器构成的 单稳态触发器,若充放电回路中的电阻、电容分别用R 、C 表示,则该单稳态触发器形成的脉冲宽度t w ≈____________。 13.施密特触发器具有两个_________状态,当输出发生正跳变和负跳变时所对应的_________电压是不同的。 14.组成ROM 电路中的输出缓冲器一般由三态门组成,其作用一是实现对输出状态的______________控制,二是提高带负载能力。 15.当RAM 的字数够用、 位数不够用时,应扩展位数。其方法是将各片 RAM 的 ____________端、R/?W 端 和CS 端并联起来即可。 二、选择题 1.与晶体三极管组成的电路相比,MOS 管组成电路的主要特点是 _________ 。 a .电流控制; b .输入电阻高; c .带负载能力强 2.下列数码均代表十进制数6,其中按余3码编码的是_________。 a .0110; b . 1100; c .1001 3. 已知逻辑函数Y=AB+A ?B+?A ?B ,则Y 的最简与或表达式为____________。 a .A ; b .A+?A ?B ; c . A+?B ; d .?A+B 4.TTL 与非门扇出系数的大小反映了与非门___________能力的大小。 a .抗干扰; b .带负载; c . 工作速度 5. 如果采用负逻辑分析,正或门即____________。 a .负与门; b .负或门; c .或门 6.七段显示译码器,当译

数字电子技术基础试题和答案

一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入()电平。 5.基本逻辑运算有: ()、()和()运算。 6.采用四位比较器对两个四位数比较时,先比较()位。 7.触发器按动作特点可分为基本型、()、()和边沿型; 8.如果要把一宽脉冲变换为窄脉冲应采用()触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。 10.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。 11.数字系统按组成方式可分为、两种; 12.两二进制数相加时,不考虑低位的进位信号是()加器。 13.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 15.计数器按CP脉冲的输入方式可分为___________和___________。 16.触发器根据逻辑功能的不同,可分为___________、___________、___________、___________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用___________、___________、___________等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有个稳态,它可存储位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。 20.把JK触发器改成T触发器的方法是。 二.数制转换(5分): 1、(11.001)2=()16=()10 2、(8F.FF)16=()2=()10 3、(25.7)10=()2=()16 4、(+1011B)原码=()反码=( )补码 5、(-101010B)原码=()反码=( )补码

数字电路期末总复习知识点归纳详细.doc

第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ?1A A+1=1与0 ?A 0= A?=0 A+=1与A A 2)与普通代数相运算规律 a.交换律:A+B=B+A ? A? = B A B b.结合律:(A+B)+C=A+(B+C) A? B ? C ? = ? ) A ( ) B (C c.分配律:) ?=+ A? (C B A? A C ?B A+ + +) B ? = A )() ) (C A B C 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A+ B ? A = A B A? = +,B

b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C ? ⊕ ? A⊕ + A C B B 可令L=C B⊕ 则上式变成L ?=C + A A? L = ⊕ ⊕ A⊕ B A L 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1 A= ? ?, 将二项合并为一项,合并时可消去一个变量 B = A = A或A +A B 例如:L=B B C + ( A +) = A= A B C C A C B 2)吸收法 利用公式A A?可以是任何一个复杂的逻辑? +,消去多余的积项,根据代入规则B A B A= 式 例如化简函数L=E AB+ + A D B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E AB+ A + B D =E + + B A+ B D A =) A A+ + D + B ( ) (E B =) A A+ D + + 1(E 1( ) B B

数字电路期末复习题及答案

数字电路期末复习题及答案 一、填空题 1、数字信号的特点就是在时间上与幅值上都就是断续变化的,其高电平与低电平常用 1 与0 来表示。 2、分析数字电路的主要工具就是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱与区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。10、一个基本R S触发器在正常工作时,它的约束条件就是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件就是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法与共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器与数码寄存器。 17、时序逻辑电路按照其触发器就是否有统一的时钟控制分为同步 时序电路与异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A、1 B、2 C、4 D、16 2、十进制数25用8421BCD码表示为 B 。 A、10 101 B、0010 0101 C、100101 D、10101 3、以下表达式中符合逻辑运算法则的就是D。 A、C·C=C2 B、1+1=10 C、0<1 D、A+1=1

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

数字电路期末试卷

2015-2016年第一学年度 市职业技术学校电子线路期末考试(开卷) 班级___________ __________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于 _ ________________

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电路基础试题及答案

陕西理工学院成教学生考试试卷姓名:年级:专业: 科目:数字电路学历层次: 一、填空:(25分) 1、(10110)2=( )10=( ) 16 ( 28 ) 10=( ) 2 =( ) 16 (56) 10=() 8421BCD 2、最基本的门电路是:、、。3、有N个变量组成的最小项有个。 4、基本RS触发器的特征方程为_______ ,约束条件是__. 5、若存储器的容量是256×4 RAM,该RAM有 ___存储单元,有字,字长 _____位,地址线根。 6、用N位移位寄存器构成的扭环形计数器的模是________. 7、若令JK触发器的J=K=T则构成的触发器为_______. 7、如图所示,Y= 。9、如图所示逻辑电路的输出Y= 。 10、已知 Y=D AC BC B A+ +,则 Y= , Y/=。 11、组合逻辑电路的特点是_________、___________;与组合逻辑 电路相比,时序逻辑电路的输出不仅仅取决于此刻 的_______;还与电路有关。 二、化简(20分) 1、公式化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++ 2、用卡诺图法化简下列逻辑函数 (1)Y BCD BC ACD ABD =+++ — — 下 — — — — — — — — — — 装 — — — — — — — — — — 订 — — — — — — — — — — 线 — — — — — — — — — — —

(2)(1,3,4,9,11,12,14,15)(5,6,7,13)m d Y =∑+∑ 三、设下列各触发器初始状态为0,试画出在CP 作用下触发器的输出波 形(10分 ) 四、用74LS161四位二进制计数器实现十进制计数器。(15分) 五、试分析如图电路的逻辑功能,设各触发器的初始状态为0。(15分) r C Q A 、Q B 、Q C 、Q A 、B 、C 、 D :数 P 、T :计数选通端r C :异步复位端CP :时钟控制输入D L :同步并置数 C :位输出端;

数字电路试卷及答案

数字电路试卷及答案(一) 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑( 1 )电平或者输入信号连接端上。 2、DAC的功能就是将( 数字)输入成正比地转换成模拟输出。 4 EPROM可存储一个( 9 )输入4输出的真值表。?3、512 4、74X163的RCO输出有效条件就是:仅当使能信号( ENT )有效,并且计数器的状态就是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101 )2、 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有( B )个。 A、 2 B、3 C、 4 D、 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A、表达式 B、逻辑图 C、真值表 D、波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A、状态数目更多 B、状态数目更少 C、触发器更多 D、触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为( D )。 A、 2 B、3 C、 4 D、5 5、下列各逻辑函数式相等,其中无静态冒险现象的就是( D )。 A、F=B’C’+AC+A’B B、F=A’C’+BC+AB’ C、F=A’C’+BC+AB’+A’B D、F=B’C’+AC+A’B+BC+AB’+A’C’ 三、组合电路分析: (共10分) B=BC 最简与之积表达式。(4分) 解:F+A'BC'+AB=1、求逻辑函数F (2)、已知逻辑函数F=W+XZ+XY, 请写出与该函数对应的最小项列表表达式: F=ΣWXYZ( ) (3分) F=ΣWXYZ( 5,6,7,8,9,10,11,12,13,14,15 ) 数字电路试卷及答案(二) 一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项就是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为( A )。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X

相关文档
最新文档