低频正弦信号发生器

低频正弦信号发生器
低频正弦信号发生器

《电子技术》课程设计报告

题目低频正弦信号发生器

学院(部)电子与控制工程学院

专业

班级

学生姓名

学号

6 月4 日至 6 月13 日共2 周

指导教师(签字)

目录

摘要 (3)

关键字 (3)

技术要求 (3)

第一章,系统概论 (3)

第二章,单元电路设计 (5)

第一节,正弦波产生和放大电路模块 (6)

第二节,频率显示 (12)

第三节,幅值显示 (15)

第三章,系统综述。 (21)

第四章,结束语。 (22)

参考文献 (23)

鸣谢 (24)

元器件明细表 (24)

收获体会与存在的问题 (24)

评语 (25)

低频正弦信号发生器

摘要

关键词低频555计时器正弦波滤波放大频率AD转换显示

技术要求

1.信号频率范围20HZ~20kHZ;

2.输出信号电压幅度 5;

3.输出信号频率数字显示;

4. 输出电压幅度数字显示。

一、系统综述(内容用小4 号字宋体)

正弦信号发生器又称正弦信号振荡器,可以有好几种方法来实现,下面介绍几种切实可行的方案:

方案一:采用传统的直接频率合成法直接合成。利用混频器,倍频器,分频器和带通滤波器完成对频率的算术运算。但由于采用大量的倍频,分频,混频和滤波环节,导致直接频率合成器的结构复杂,体积庞大,成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。

方案二:用函数产生芯片直接产生所需信号。采用MAX038函数产生芯片,通过设置管脚参数的输入,可设计组成产生幅频精度很高且易于调整的波形信号,该波失真度很小,而且可实现的频率范围很大,在电路参数要求苛刻的工作场所能够得到较好的应用,用该芯片设计组成的信号产生电路集成度高,而且简单,容易控制。但是在Multisim

中,没有MAX038芯片,所以,我们也不准备采用此方案。

方案三:采用RC 选频率网络构成的振荡电路产生所需正弦波。RC 振荡电路适用于低频振荡,结构简单,经济方便,一般用于产生1Hz~1MHz 的低频信号。但RC 振荡电路只能产生近似的正弦波,相比较而言,可靠性较差,误差较大,所以我们也不准备采用此方案。

方案四:在数字电子技术中,我们学习了用555定时器产生方波的原理,基于熟悉的知识,我们决定用555定时器先产生频率可调的方波,再利用模拟电子技术中所学的滤波电路搭建一个多阶滤波电路(我们采用的是三阶可调滤波电路),利用方波产生的频率可调的正弦波。再利用数字电子技术所学的十进制计数器74LS160和数码显示器DCD-HEX 来完成频率的显示。由滤波电路产生的正弦波信号,经过一个放大电路放大后,形成幅值在-5v---+5v 可调的正弦波信号,最后再利用我们没有学过的A/D 转换装置,经过数码显示装置,完成幅值显示功能。

对我们来说,以上方案虽然都是理论可行,但是有的方案要求对我们来说太高,操作太过复杂,特别是有的关于单片机的使用,超出了我们的能力范围,只能放弃,而有的的精确度又不够,达不到我们的要求,所以综上所述,我们采用了方案四。

原理方框图如下:

方波 正弦波

555多谐振荡器 二阶RC 滤波电路

反向比例器

74LS160N 构成的计数器

555振荡器构成的时基电路

74LS175D

DCD-HEX 频率显示

图1 方案四原理图

二、单元电路设计

1、正弦波的产生部分。先由方波产生部分产生频率可调的方波,再通过正弦波产生部分(滤波网络)产生正弦波。

1.1 方波产生部分

A 本组正弦波的产生采用的是以芯片555定时器为核心的电路。由数字电子技术的基本知识可以知道,用555定时器可以构成多谐振荡器,由多谐振荡器产生方波。其原理图如下:

采样控制电路

ADC 芯片进行转换

74LS160D 与

74LS161D 构成的进制转换器

DCD-HEX 电压

显示

(图1)

本图中电容C的充放电路径不一样!充电路径为经过R1和D1,放点路径为经过R2和D2(放电路径为经R2和D2再经端口7相当接地)。

所以C的充放电时间为:

T1=Ln2(R1+R2)C≈0.7R1*C

T2=R2*C*Ln2≈0.7R2*C

其周期为;T=T1+T2

占空比q;

q=R1/(R1+R2)

令R1=R2,有T1=T2

又因为要求输出频率变化范围为20hz-20khz之间。

F=1/T=1/(1.4R1*C)

取C=1uf 所以R1为35.7Ω-35.7kΩ

在调节频率时要同步调节R1,R2.这样就满足R1=R2,占空比为0.5.

而输出的波形为方波(电压值始终大于零)只有正的幅值。所以要加个恒压源把波形(作用如下):

(图2)

(恒流源)

(图3)

仿真效果如上图3。

1.2 正弦波产生部分。由方波经过三阶滤波(方波通过一阶产生三角波在经过二阶滤波电路逼近形成正弦波)电路产生正弦波。

其原理图如下图4:

(图4)

上图(4)中

R10, R9, R12 为细调;R5,R11,R6分别为粗调使滤波达到要求!

(图5)

1.3 正弦波放大部分。其原理图如下图7:

图(6)

上图中通过函数发生器代替前面产生的正弦波,在经过差动放大电路(有放大电路中知识可知在电路图一定的前提下放大倍数只与Rc有关。又因为前边产生的正弦波的幅值为0.1v)通过对放大电路的分析测试可知道当R c大概为4kΩ时放大后的赋值为5 v. R4,R6分别为放大电路的粗细调节!而图中电容具有过滤直流的作用。其幅值显示部分

如下图7:

(图7)

2.1 正弦波频率数字显示模块

对于正弦波频率数字显示模块,我们可以利用之前的555构成的多谐振荡器产生的方波来测量正弦波的频率,因为其频率都是一样的,而且相比较而言,方波的频率比正弦波方便测量的多。

对于测频率的方法,我们目前考虑的有三种:

(1)测频法(M法)

用一个标准定时时间TG控制一个闸门电路,在时间TG内闸门打开,让被测信号通过,记下被测信号的变化周期数,该数与计数时间TG的比值就是其频率,该方法适合高频信号的测量,如下图所示:

图10 测频法

(2)测周法(T法)

首先把被测信号通过二分频,获得一个高电平时间或低电平时间都是一个信号周期T的方波信号,然后用一个已知频率fosc的高频方波信号作为计数脉冲,在一个信号周期T的时间内对信号进行计数。该方法适合低频信号的测量,如下图所示:

图11 测周法

(3)T/M法

T/M法采用的是两个计数器,分别对被测信号和高频标准计数信号进行计数,若在确定的检测时间内,对被测信号fx的计数值为N1,而对高频信号fosc的计数值为N2,则如图所示:

图12 T/M法

由以上所知,虽然在本次课设中,无论是T法还是T/M法,都比较M法来说都更为精确,但是无疑操作和设计都加大了难度,而且测周法所测得的信号周期数据,还需要求导数运算才能求得信号频率,而二进制数据的求导数运算在中小规模中数字集成电路中又难以实现,而相比较而言,测频法就方便操作的多,故我们这里采用测频法。

对于产生的闸门脉冲,我们可以利用555的多谐振荡器和单稳态触发器来构成一个时基电路,产生一个高电平为1s时基脉冲,并通过在这个脉冲时间内用计数器计算出待测信号的次数来测量待测信号的频率,时基电路如图所示:

图13 时基电路

如上图所示,左边的555多谐振荡器有:

T1=0.7(R7+R8)C8=1.1515s

T2=0.7R8C8=0.329s

而右边的555单稳态触发器则有:

tw=1.1R6C5=1s

而又有 T2

幅值显示原理:由555多谐振荡器经过555单稳态电路产生一个高电平时间t=1s 的矩形波。在单稳态高电平时74LS160开始计数并且把记得数送到74LS175L里,直到单稳态转为低电平时,又经过非门转换为由低电平变为高电平。这满足74LS160的要求,接受74LS160送的数,并且保持,显示频率!图中频显部分中的开关起到手动清零作用!

74LSA160功能表:

输入输出

CR LD CTp CTt CP D0 D2 D3 D4 Q0 Q1 Q2 Q3 L X X X X X X X X L L L L

H L X X ↑d0 d1 d2 d3 d0 d1 d2 d3 H H H H ↑X X X X 计数

H H L X X X X X X 保持

H H X L X X X X X 保持

L 低电平 H高电平↑低电平转高电平 x 任意

当输入已知频率为1KHZ的待测频率,数字显示管的显示如下图所示:

图15 数字频率计显示结果

由上图可知,经过我们的努力,虽然因为电路图有点繁琐,计算所得结果时所需时间较长,我们已经可以把误差控制在一个很小的范围内。

正弦信号发生器数字频率计总电路图如下图所示:

图16 正弦信号发生器数字频率计总电路图

如上图所示,我们采用了手动清零的方法,而在这里的待测信号,我们用了一个函数信号发生器代替,而数码管显示时,就和我们平时读数的习惯一样,最高位在最左位,依次往右位次依次降低。

3、正弦波幅值数字显示模块

设计数字电压表时,应为正弦波输出的信号为模拟信号,和进行数字显示时却需要数字信号,所以在这之前我们需要用A/D转换电路将模拟信号转换为数字信号,以驱动数码管显示电压幅值。在这里,我们需要用到一个我们还没有学过的芯片—ADC 芯片来将模拟信号转换,以驱动数码管显示。

图17 ADC芯片

其中,vin为信号的输入,vref+和vref-为比较电压的输入端,soc为开始转换的控制端,eoc为结束转换的控制端,而D0至D7则为八位二进制的输出端。

而此ADC芯片却不能直接输入交流信号,因此我们在输入模拟信号之前,却需要将正弦交流信号输入一个采样—保持控制电路,以此来形成一个较稳定的直流模拟信号,采样—保持控制电路如下图所示:

图18 采样—保持控制电路

如上图所示,当信号开始输入时,开关闭和,这是的输出信号随着输入信号的变化而变化,如下图所示:

图19 开关闭和时输出信号波形图

而当开关打开时,这是电容便会放电,而使得输出的信号保持在一个稳定的幅值,如下图所示:

图20 开关打开时输出信号波形图

我们知道,ADC芯片输出的信号为一个八位二进制编码,而我们如果要完成数码管的显示,则需要将其转换为十进制编码,这时,我们设计了以下电路图:

图21 进制转换电路图

如上图所示,我们用了两片74LS161与三片74LS160构成了一个转换电路,原理如下:

我们给了74LS160与74LS161形同的CP脉冲,让他们同时开始计数,而我们又将ADC芯片的各个输出与74LS161的各个输出用异或门全部相与在一起,由于ADC芯片的输出与74LS161都是八位二进制输出,当74LS161与74LS160在CP脉冲的作用下开始计数到与ADC的输出完全一样时,这时他们的输出由异或门相与在一起的结果为0,而此结果又与各个74LS161与74LS160的ENP与ENT直接相连,则这时各个芯片停止计数,而又因为74LS160与74LS161是同时在同一脉冲的作用下开始计数的,则其输出的结果是相同的,而由此可得,最终数码管上输出的结果便是ADC输出结果的转换。而又由公式可得,当ADC的vref+与vref-之间加上25.6V的电压时,编码每加1时,则电压显示加上0.1V。

当信号输入端加上一个5V的模拟信号时,这是数码管的显示如下图所示:

图22 数码管显示

如上图所示,其电压显示结果为能精确到小数点后一位,例如,上图所示的电压显示为5.0V。

正弦波幅值数字显示模块的总电路如下所示:

低频函数信号发生器的设计

低频信号发生器的方案 概述:采用A T89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对A T89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 模块结构划分 本次设计所研究的就是对所需要的某种波形输出对应的数字信号,在通过D/A转换器和单片机部分的转换输出一组连续变化的0~5V的电压脉冲值。在设计时分块来做,按波形设定、D/A转换、51单片机连接、键盘控制四个模块的设计。最后通过联调仿真,完成相应功能。 具体设计模块如图 模块介绍: 1.波形设定:对任意波形的手动设定 2.D/A转换:主要选用DAC0832来把数字信号转换为模拟信号,

在送入单片机进行处理。 3.单片机部分:最小系统 4.键盘:用按键来控制输出波形的种类和数值的输入 硬件电路的设计 基本原理 低频信号发生器系统主要由CPU 、D/A 转换电路、电流 / 电压转换电路、按键和显示电路、电源等电路组成。其工作原理为当按下第一个按键就会分别出现方波、三角波、正弦波。 D/A 转换电路的设计 DAC0832是CMOS 工艺制造的8位D/A 转换器,属于8位电流输出型D/A 转换器,转换时间为1us ,片内带输入数字锁存器。DAC0832

与单片机接成数据直接写入方式,当单片机把一个数据写入DAC寄存器时,DAC0832的输出模拟电压信号随之对应变化。利用D/A转换器可以产生各种波形,如方波、三角波、正弦波、锯齿波等以及它们组合产生的复合波形和不规则波形。 1.DAC0832主要性能: ◆输入的数字量为8位; ◆采用CMOS工艺,所有引脚的逻辑电平与TTL兼容; ◆数据输入可以采用双缓冲、单缓冲和直通方式; ◆转换时间:1us; ◆精度:1LSB; ◆分辨率:8位; ◆单一电源:5—15V,功耗20mw; ◆参考电压:-10—+10V; DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图3-20

低频正弦信号发生器

低频正弦信号发生器 摘要 正弦信号发生器是信号中最常见的一种,它能输出一个幅度可调、频率可调的正弦信号在这些信号发生器中,又以低频正弦信号发生器最为常用,在科学研究及生产实践中均有着广泛应用。 目前,常用的信号发生器绝大部分是由模拟电路构成的,电路的组成主要包括选频网络,反馈网络,以及放大部分。所以,从结构上看,正弦信号发生器就是一个没有输入信号的带选频网络的正反馈放大电路。分析RC串并联选频网络的特性,根据正弦波振荡电路的两个条件,即振幅平衡与相位平衡,来选择合适的放大电路指标,来构成一个完整的振荡电路。很多应用中都要用到范围可调的LC 振荡器,它能够在电路输出负载变化时提供近似恒定的频率、几乎无谐波的输出。电路必须提供足够的增益才能使低阻抗的LC 电路起振,并调整振荡的幅度,以提高频率稳定性,减小THD(总谐波失真)。 但是,在一般的情况下,RC选频电路用于输出中频信号,LC选频电路用于输出高频信号,当需要这种模拟信号发生器用于输出低频率信号往往需要的RC值很大(LC 输出高频,更难以满足要求),这样不但参数准确度难以保证,而且体积大和功耗都很大,低频性能难以满足要求。而由数字电路构成的低频信号发生器,多是由一些芯片组成,其低频性能比模拟信号发生器好得多,并且体积较小,输出的信号谐波较少,频率和振幅相对比较稳定。本文借助555定时器和74LS161产生方波经MF10滤波电路产生正弦信号,这种电路运算速度较高,系统集成度强,且实现更加简便。电压的数字显示主要由555定时器构成的放大整形电路,时基电路和控制电路构成,最终由十六进制加法器74LS160,锁存器74LS373,译码器74LS48使数码管显示电压。

方波-三角波-正弦波函数信号发生器

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:设计制作一个产生方波-三角波-正弦波函数转换器学院名称:信息工程学院 专业:电子信息科学与技术班级: xxxxxxxx 学号: xxxxxxx 姓名: xxxxx 评分:教师: xxxxxx 20 13 年 10 月 15 日

电子课程设计 课程设计任务书 20 13 -20 14 学年 第 1 学期 第 1 周- 3 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 当今世界在以电子信息技术为前提下推动了社会跨越式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子通信方面更显得尤为重要,在国民生产各部门都得到了广泛的应用,而各种仪器在科技的作用性也非常重要,如信号发生器、单片机、集成电路等。 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和 教学实验等领域。常用超低频信号发生器的输出只有几种固定的波形,有方波、 三角波、正弦波、锯齿波等,不能更改信号发生器作为一种常见的应用电子仪器 设备,传统的可以完全由硬件电路搭接而成,如采用LM324振荡电路发生正弦波、 三角波和方波的电路便是可取的路径之一,不用依靠单片机。 本系统本课题将介绍由LM324集成电路组成的方波——三角波——正弦波 函数信号发生器的设计方法,了解多功能函数信号发生器的功能及特点,进一步 掌握波形参数的测试方法,制作这种低频的函数信号发生器成本较低,适合学生 学习电子技术测量使用。制作时只需要个别的外部元件就能产生正弦波、三角波、 方波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。 关键字:信号发生器、波形转换、LM324

低频函数信号发生器设计实验报告 精品

实验报告 课程名称:电子系统综合设计指导老师:周箭成绩:实验名称:低频函数信号发生器(预习报告)实验类型:同组学生姓名: 一、课题名称 低频函数信号发生器设计 二、性能指标 (1)同时输出三种波形:方波,三角波,正弦波; (2)频率范围:10Hz~10KHz; (3)频率稳定性:; (4)频率控制方式: ①改变RC时间常数; ②改变控制电压V 1实现压控频率,常用于自控方式,即F=f(V 1 ),(V 1 =1~10V); ③分为10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。 (5)波形精度:方波上升下降沿均小于2μs,三角波线性度δ/V om <1%,正弦波失真度

; (6)输出方式: a)做电压源输出时 输出电压幅度连续可调,最大输出电压不小于20V 负载R L =100Ω~1KΩ时,输出电压相对变化率ΔV O /V O <1% b)做电流源输出时 输出电流幅度连续可调,最大输出电流不小于200mA 负载R L =0Ω~90Ω时,输出电流相对变化率ΔI O /I O <1% c)做功率源输出时 最大输出功率大于1W(R L =50Ω,V O >7V有效值) 具有输出过载保护功能 三、方案设计 根据实验任务的要求,对信号产生部分,一般可采用多种实现方案:如模拟电路实现方案、数字电路实现方案、模数结合的实现方案等。 数字电路的实现方案 一般可事先在存储器里存储好函数信号波形,再用D/A转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A转换器输入数字量的速率来实现的。 数字电路的实现方案在信号频率较低时,具有较好的波形质量。随着信号频率的提高,需要提高数字量输入的速率,或减少波形点数。波形点数的减少,将直接影响函数信号波形的质量,而数字量输入速率的提高也是有限的。因此,该方案比较适合低频信号,而较难产生高频(如>1MHz)

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

正弦信号发生器的研究

本科毕业论文(设计) (2014届) 正弦信号发生器的研究 院 系 电子信息工程学院 专 业 电子信息工程 姓 名 张* 指导教师 钟** 讲师 2014年4月 学号:1008421063 2

摘要 随着电子技术的飞跃发展,社会发展步入了信息时代;随着生活水平提高,人们对精神生活的要求也跟着提高,这对电子领域提出了跟更高的要求。所以我们有必要在电子技术的各个领域不断创新、提高。正弦信号发生器广泛地应用于电子电路,自动控制系统,仪表测量校正调试和教学实验等领域。 本文利用仿真软件Proteus,以RC振荡电路、LC振荡电路、石英振荡电路为选频网络,仿真出三种正弦信号发生器的电路,通过仿真结果分析电路参数对正弦信号发生器性能指标的影响。其中研究RC振荡电路中电阻、电容对振荡频率的影响;讨论电位器对振荡电路输出波形的影响;讨论谐振回路的电容对振荡频率和反馈系数的影响;研究负载电阻对电路输出波形的影响等。 关键词:正弦信号发生器;Proteus;LC振荡电路;RC振荡电路

Abstract With the rapid development of electronic technology, social development has entered the information age; with the improvement of living standards, the requirements on the spiritual life of people has also been increased, which put forward with the higher request to the electronic field. So we need to constantly innovate and improve in all areas of electronic technology. Sinusoidal signal generator is widely used in the field of electronic circuits, automatic control system, instrumentation correction debugging and teaching experiment etc. On this paper, by using the simulation software Proteus, the RC oscillation circuit, LC circuit, crystal oscillation circuit for the frequency selective network, I simulated a circuit of three sinusoidal signal generator. Through the simulation results, I analyzed the influence of circuit parameters on the performance of the sinusoidal signal generator.Among which make a research on the effect of the resistance, capacitance of oscillation frequency in the RC oscillation circuit; discuss the effects of potentiometer to oscillation circuit output waveform; discuss the influence of capacitance in the resonant circuit on the oscillation frequency and the feedback coefficient of load resistance; and study the impact of load resistance on the circuit output waveform,etc. Keywords: sinusoidal signal generator; Proteus; LC circuit; RC oscillation circuit;

正弦信号发生器2

正弦信号发生器[2005年电子大赛一等奖] 文章来源:凌阳科技教育推广中心 作者:华中科技大学(华中科技大学曹震陈国英孟芳宇)发布时间:2006-4-21 17:33:13 本系统基于直接数字频率合成技术;以凌阳SPCE061A单片机为控制核心;采用宽带运放AD811和AGC技术使得50Ω负载上峰值达到6V±1V;由模拟乘法器AD835产生调幅信号;由数控电位器程控调制度;通过单片机改变频率字实现调频信号,最大频偏可控;通过模拟开关产生ASK、PSK信号。系统的频率范围在100Hz~12MHz,稳定度优于10-5,最小步进为10Hz。 一、方案论证 根据题目要求和本系统的设计思想,系统主要包括图1.1所示的模块。 图1.1 系统模块框图

1、单片机选型 方案一:采用现在比较通用的51系列单片机。51系列单片机的发展已经有比较长的时间,应用比较广泛,各种技术都比较成熟,但此系列单片机是8位机,处理速度不是很快,资源不够充足,而且其最小系统的外围电路都要自己设计和制作,使用起来不是很方便,故不采用。 方案二:选用凌阳公司的SPCE061A单片机。SPCE061A单片机是16位的处理器,主频可以达到49MHz,速度很快,再加上其方便的ADC接口,非常适合对高频信号进行数字调频,如果对音频信号进行A/D采样,经过数字调频并发射,完全可以达到调频广播的效果。 结合题目的要求及SPCE061A单片机的特点,本系统选用凌阳公司的此款单片机。 2、频率合成模块 方案一:锁相环频率合成。如图1.2,锁相环主要由压控LC振荡器,环路滤波器,鉴相器,可编程分频器,晶振构成。且频率稳定度与晶振的稳定度相同,达10-5,集成度高,稳定性好;但是锁相环锁定频率较慢,且有稳态相位误差,故不采用。 图1.2 锁相环的基本原理 方案二: 直接数字频率合成。直接数字频率合成DDFS(Direct Digital Frequency Synthesizer)基于Nyquist定理,将模拟信号采集,量化后存入存储器中,通过寻址查表输出波形数据,再经D/A转

音频测试-低频信号发生器-使用方法

低频信号发生器的操作方法 第一步骤:低频信号发生器的连接 连接电源线 用220V AC 线把低频信号发生器连上市电。如电源插座旁有控制开关,还须把开关打开。(如上图2) 连接信号线 将输出线插入到低频信号发生器的信号输出(OUTPUT )接口,并顺时针扭动半圈(如下图3)。图 1 图 2 将开关打开

第二步骤:信号电压幅度调节 上述步骤完成后,接下来需要开机预热和调节输出信号的幅度。 1) 开机(POWER ) 按下电源键开机,开机后电源指示灯会亮。电源按钮一般为红色。 图 3 图 4 连接输出线 电源按钮 电源指示灯

波形选择(WAVE FORM ) 控制低频信号发生器的输出波形。此按钮未按下去时为正弦波,按下去后为矩形波。中文意思为波形。在音频测试中应选择正弦波。(如上图6) 振幅调节(AMPLITUDE ) 此旋钮用来对信号幅度进行微调。顺时针为调大(MAX ),逆顺针为调小(MIN )。如下图图 6 图 5 波形选择 按钮 衰减度选择 -20dB 档 振幅微 调旋钮 图 7 交流电压 20V 档 信号频率 为50Hz

第四步骤:信号频率调节 当调好低频信号发生器的信号电压时,我们还要调节信号发生器的信号频率。 1) 频率调节(FREQUENCY ) 频率调节旋钮上有刻度盘,刻度盘上的数值从10~100,我们调节时把刻度盘上的数值对准正上方的黑色标志,这个数值就是输出信号的基数值。Frequency 中文为频率的意思。(如上图9个琴键按钮,分别为×1、×10、×100、×1K 、×10K ,它们与频率旋钮配合使用。当按下其中的某一个时,表示频率旋钮上指示的基数值×此按钮的倍数。 图 9 图 8 频率旋钮 倍数选择

函数信号发生器 开题报告

毕业设计(论文)开题报告题目函数信号发生器 专业名称电子信息工程 班级学号118501106 学生姓名蔡伟攀 指导教师邓洪峰 填表日期2015年 3月25日

说明 开题报告应结合自己课题而作,一般包括:课题依据及课题的意义、国内外研究概况及发展趋势(含文献综述)、研究内容及实验方案、目标、主要特色及工作进度、参考文献等内容。以下填写内容各专业可根据具体情况适当修改。但每个专业填写内容应保持一致。

一、选题的依据及意义 1.选题依据 信号发生器(signal generator)又称信号源或振荡器,是输出供给量,产生频率、幅度、波形等主要参数都可调的信号,用于测量的信号发生器指的是能够产生不同频率、不同幅度的规则或不规则的信号源,在电子系统的测量、实验、校准和维护中的得到广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波甚至任意波形,各种波形曲线均可用三角函数方程式表示。如在制作和调试音频功率放大器时,就需要人为的输入一个标准音频信号,才能测量功率放大器的输出,得到功率放大器的相关参数,此时要用到的这个标准音频信号就是由信号发生器提供的,可见信号发生器的应用很广。信号发生器其作用是:测量网络的幅频特性、相频特性;测量网络的瞬态响应;测量接收机;测量元件参数等。 信号源可以分为通用和专用两种,通用信号源包括:正弦信号源、脉冲信号源、函数信号源、高频信号源、噪声信号源;专用信号源包括:电视信号源、编码脉冲信号源。信号发生器根据输出波形可以分为:正弦信号发生器、函数信号发生器、脉冲信号发生器和噪声信号发生器。 (1)正弦信号发生器 主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按照其不同性能和用途还可以分为低频(20Hz~10MHz)信号发生器、高频(100kHz~300MHz)信号发生器、微波信号发生器、扫频和程控发生信号发生器、频率合成式信号发生器等。 (2)函数(波形)信号发生器 能产生特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可以从几微赫兹到几十兆赫兹。除供通信、仪表和自动控制系统测试外,还广泛用于其他非电测量领域。 (3)脉冲信号发生器 能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。(4)随机信号发生器 通常又分为噪声信号发生器和伪随机信号发生器两种。噪声信号发生器的主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测定噪声系数;用随机信号代替正

低频信号发生器的使用说明

附录一低频信号发生器的使用说明 一.概述 AS1033型低频信号发生器采用了中央处理器控制面板的操作方式,具有良好的人机界面。输出正弦波信号频率从2Hz~2MHz连续可调,输出正弦波信号幅度从0.5mV~5V连续可调,并设有TTL输出方波功能,频率从2Hz~2MHz连续可调,占空比从20%~80%连续可调。 面板显示清晰明了,操作简单方便,输出频率调节可采用频率段调节(轻触开关粗调)和数码开关调节(段内细调)二种,其中数码开关调节又分快调和慢调两种,五位数码管直接显示频率,输出幅度调节采用轻触粗调(20dB、40dB、60dB)和电位器细调(20dB)以内,三位数码管直接显示输出电压有效值或衰减电平。 中央处理器控制整机各部分,并采用了数/模、模/数转换电路,应用数码开关作为频率调节输入。振荡电路采用压控振荡与稳幅放大相结合,具有良好的稳幅特性。电路中还加入输出保护、TTL输出、方波占空比可调电路等。 二.技术特性 1.频率范围:2Hz~2MHz,共分五个频段 第一频段:2Hz~30Hz 第二频段:30Hz~450Hz 第三频段:450Hz~7kHz 第四频段:7kHz~100kHz 第五频段:100kHz~2MHz 2.正弦波输出特性 (1)输出电压幅度(有效值):0.5mV~5V (2)幅频率特性:≤±0.3dB (3)失真度:2Hz~200kHz≤0.1%,200kHz~2MHz,谐波分量≤-46dB 3.方波输出特性 ⑴最大输出电压(空截,中心电平为0):14Vp-p ⑵占空比(连续可调):20%~80% ⑶逻辑电平输出:TTL电平,上升、下降沿≤25ns 4.输出电抗:600Ω 5.频率显示准确度:1×10-4±1个字 6.正常工作条件 ⑴环境温度:0~40℃ ⑵相对湿度:<90%(40℃) ⑶大气压:86~106kpa ⑷电源电压:220±22V,50±2.5Hz 7.消耗功率:<10W 三.面板及操作说明 1.整机电源开关(POWER) 按下此键,接通电源,同时面板上指示灯亮。 2.频段选择手动按钮

正弦信号发生器方案选择

研究生专业实践方案论证题目:中心频率1MHz正弦波信号发生器 学号 姓名 专业机械工程 院系机械科学与工程学院 2016年4月29日

中心频率1MHz正弦波信号发生器 1.选题背景 在电子技术领域,经常需要用到一些信号作为测量基准或输入信号,也就是所谓的信号源。函数信号发生器已成为电子技术领域不可或缺的仪器,尤其是在电路调试过程中,信号发生器起着相当重要的作用。按其频率产生方法,信号发生器可分为谐振法和合成法两种。一般传统的信号发生器均采用谐振法,也就是用具有频率选择性的回路来产生正弦振荡,获得所需频率。近些年来,随着集成集成电路的不断发展,各大厂商生产的信号发生器大部分都采用分立元件及模拟集成电路构成频率合成器,不仅实现了仪器体积的进一步缩小,且准确度和精度得到了进一步提升。 2.方案设计 2.1 方案一 用分立元件组成的函数发生器。分立器件是相对于集成芯片而言的。随着科学技术的不断发展,人们渐渐步入电子时代,分立器件也被也被广泛应用到各种领域,如计算机外设、消费电子、仪器仪表等。它包括:半导体二极管、半导体三极管、电容、电阻、逻辑器件、传感器、敏感器件以及装好的压电晶体类似半导体器件等。 用分立器件组成的函数信号发生器,其机构简单、成本较低。但是由于元器件的分散性及环境条件的改变等因素,致使波形频率易产生偏差,它通常是单频率函数信号发生器,并且其频率较低,工作状态易产生波动,不易于调试。 2.1.2 方案二 用晶体管、运放IC等通用器件制作函数信号发生器。函数信号发生器可以由晶体管、运放IC等通用器件制作。早期的函数信号发生器IC,如L8038、BA205、XR2207等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高的频率信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。 由于用通用器件制作的函数信号发生器和方案一有同意的确定,即产生出信号的频率较低。因此,在本设计中,此种方案也不宜采用。

正弦信号发生器(参考2)

正弦信号发生器 作者:曾立丁运鸿陈亮 赛前辅导及文稿整理辅导教师:肖看 摘要 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生5Hz-20MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK 信号,同时对ASK信号进行解调,恢复出原始数字序列。另外,本系统还配备有液晶显示屏、遥控键盘,提供了友好的人机交互界面。 ABSTRACT This system is in the core of Micro-Processor and FPGA (Field Programmable Gate Array), consist of sine signal generating module, Power amplifier, Amplitude Modulator, Frequency Modulator, ASK/PSK module and test signal generating module. The AD9851 controlled by Micro-Process in digital way to generate sine signal with the bandwidth 5Hz to 20MHz adjustable per 1Hz. After processing by LPF & power amplifier, the output signal has a peak value of move than 6V. The sine signal at 1 KHz was send to AM and FM module to modulate the high frequency carrier waveform. The binary sequential was send to the relative module to generate ASK and PSK signal. At last demodulate module demodulate the ASK signal and got the same binary sequential as set before. In order to provide a friendly user interface, the LCD and remote infrared control keyboard was introduced in this system.

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

低频正弦信号发生器

任务书 一、毕业设计(论文)题目:低频正弦信号发生器 二、毕业设计(论文)工作规定进行的日期:年月日起至年月日止 三、毕业设计(论文)进行地点: 11栋506 四、任务书的内容: 目的: 任务:低频正弦信号发生器 工作日程安排: 设计(论文)要求: 1、基本要求 (1)实现1Hz-1KHz变化的正弦信号。 (2)通过面板键盘控制输出频率,频率最小步进1Hz。 (3)输出双极性。 (4)用LED数码管实时显示波形的相关参数。 2、发挥部分 (1)不改变硬件设计,将上限频率扩展到10KHz。 (2)不改变硬件设计,扩展实现三角波和方波信号。 (3)可通过PC机上的“虚拟键盘”,实现频率等参数的控制。 (4)实现对幅度的控制。

主要参考文献: 1、周雪模拟电子技术(修订版)西安电子科技大学出版 2、杨志中数字电子技术(第二版)高等教育出版社 3、张澄高频电子电路人民邮电出版社 4、张志良单片机原理与控制技术(第二版)机械工业出版社 5、张大明单片微机控制应用技术西安电子科技大学出版社 学生开始执行任务书日期 200 年月日指导教师签名: 年月日学生送交毕业设计(论文)日期: 200 年月日教研室主任签名: 年月日 学生签名: 年月日

目录 1方案论证.................................................. 错误!未定义书签。 1.1信号发生.......................................................................................... 错误!未定义书签。 1.1.1方案一.......................................................................................... 错误!未定义书签。 1.1.2方案二.......................................................................................... 错误!未定义书签。 1.2模拟频率调制.................................................................................. 错误!未定义书签。 1.2.1方案一.......................................................................................... 错误!未定义书签。 1.2.2方案二.......................................................................................... 错误!未定义书签。2系统模块硬件电路分析. (4) 2.1 CPU控制模块 (4) 2.1.1 CPU选择 (4) 2.1.2简单的小系统控制板介绍 (5) 2.2 16*2字符型带背光液晶显示模块 (8) 2.3 驱动电路的模块............................................................................. 错误!未定义书签。 2.3.1行驱动管74HC4953..................................................................... 错误!未定义书签。 2.3.2译码器.......................................................................................... 错误!未定义书签。 2.3.3列驱动.......................................................................................... 错误!未定义书签。 2.3.4总线驱动器.................................................................................. 错误!未定义书签。3本系统LED显示屏信号的了解................................ 错误!未定义书签。 3.1 CLK时钟信号.................................................................................. 错误!未定义书签。 3.2 STB锁存信号.................................................................................. 错误!未定义书签。 3.3 EN使能信号.................................................................................... 错误!未定义书签。 3.4数据信号.......................................................................................... 错误!未定义书签。 3.5 ABCD行信号.................................................................................... 错误!未定义书签。4电路与程序设计............................................ 错误!未定义书签。 4.1硬件电路的设计.............................................................................. 错误!未定义书签。 4.1.1系统总体框图(图7)............................................................... 错误!未定义书签。 4.2程序设计思路框图(图8)........................................................... 错误!未定义书签。5调试过程 (13) 6 设计总结 (14) 附件1 电路图 (15) 附件1.1主控板:AT89S52单片机原理图(图9) (15) 附件1.2主控板:AT89S52单片机PCB图(图10) (15) 附件1.3点阵显示屏原理图................................................................. 错误!未定义书签。 附件1.4 4x4键盘原理图(图12) (15) 附件1.5 4x4键盘PCB图(图13) (17) 附件2 源程序............................................... 错误!未定义书签。 附件2.1主程序.......................................... 错误!未定义书签。 附件2.2点阵显示程序.................................... 错误!未定义书签。 附件2.3按钮扫描程序.................................... 错误!未定义书签。

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

相关文档
最新文档