脉冲数字电路

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

脉冲与数字电路_张珣_习题

1.用一片74LS153及适当的门电路实现一位全加器. 2.用一片74LS90实现模8计数器(5421BCD码) 要求写出设计全过程,记录实验结果,计数器先用指示灯记录状态,再用示波器观察并记录波形 3.扇出系数N0的含意是什么? ∑)6,4,3,0(m,要求电路最简。 1.用与非门实现函数F(A,B,C)= 2.用74LS90实现M=24的8421BCD码计数器,先用数码管显示计数器状态,再 用示波器观察24分频信号,要求写出设计全过程,记录实验结果。 3.与非门的多余输入端如何处理? 1. 用74LS283实现8421BCD码加法时需有一个校正网络,试求出校正网络的函 数表达式,并搭试其电路。 注: 校正网络的输入信号可由开关提供。 2. 用74LS90构成一个模6计数器(用置9端实现)。 要求写出设计全过程,记录实验结果,计数器先用指示灯记录状态,再用示波器观察并记录波形。 3.扇出系数N0的含意是什么? 1.用与非门实现一个满足三人参加的多数表决器. 2.用一片74LS90及适当的门电路实现模7计数器(5421BCD码置9端) 3.扇出系数N0的含意是什么? ∑)6,4,3,0(m。 1.用一块74LS153及适当的门电路实现函数F(A,B,C)= 2.用一片74LS90及适当的门电路实现模7计数器(5421BCD码置9端) 3.普通的纽子开关能否用做CP脉冲?为什么? 1.74LS153实现一位全加器电路,要求写出设计全过程,记录实验结果。 2.用74LS90及与非门实现交通灯控制电路: ①A通道9秒绿灯,B通道9秒红灯; ②A通道9秒红灯,B通道9秒绿灯。

脉冲与数字电路模拟试题第1套及答案

数字电子技术(第2版)第一套A卷 一、单选题(每题1分) 1.回差是( )电路的特性参数。 A时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2.石英晶体多谐振荡器的主要优点是( )。 A电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3.对TTL与非门多余输入端的处理,不能将它们( )。 A与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL与非门的关门电平是0.8V,开门电平是2V,当其输入低电平为0.4V,输入高电平 为3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5.逻辑函数ACDEF + =的最简与或式为() + Y+ A C AB A.C = B. B A Y+ Y= D. AB Y= = C. AD Y+ A 6.在什么情况下,“与非”运算的结果是逻辑0。() A.全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7.组合逻辑电路()。 A一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A与B均可 8.已知逻辑函数的真值表如下,其表达式是() 1

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD A B Y += B . 1=Y C . 0=Y D . D C B A Y +++=

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

《脉冲与数字电路》试题一(满分100分)

《脉冲与数字电路》试题一(满分100分) 一、填空题(每空1分,共40分) 1、脉冲是指存在时间极短的()或()的波形。在脉冲数字电路中, 常用的是()脉冲。 2、电容器充放电过程中,充放电的快慢取决于RC的大小。RC大,则充放电进行的(); RC小,则充放电进行的()。 3、二极管和三极管都具有()特性。利用二极管的单向导电性,可将其作为 ()使用。处于开关状态下的晶体管,其工作点在()区和()区之间跳动。 4、()是最基本的开关电路,它的特点是输出信号和输出信号反相。 5、触发器是数字电路的一种基本()单元,它能够()一位二进制的 信息。它应具备如下功能:有两个(),在输入信号的作用下,它可以置于()态,也可以置于()态;它还必须具有()功能。 6、对于同步RS触发器,用作计数器时,触发器会发生多次翻转,即出现() 现象。 7、TTL电路的电压传输特性分为()、()、()和() 四个阶段。 8、时序电路逻辑功能的特点是:电路任意时刻的输出信号不仅与当时的() 有关,还与电路原来的状态有关。 9、寄存器是具有能够()、()和传送数码的一种逻辑记忆元件,它 分为()和()两种。 10、计数器是数字系统中能()输入脉冲个数的数字电路。它可用来()、 ()、()。 11、卡诺图化简中,两个相邻最小项合并可消去()个变量;八个相邻最小项合 并可消去()个变量 12、CC7555集成电路由()、()和()、 放电管V以及()组成。 13、集成施密特触发器由()、()、()和() 四部分组成。 二、判断题(每小题1分,共20分) 1、脉冲分压器中的加速电容Ci是使输出电压变化加快,以克服Co的延缓作用。() 2、积分电路的输出延缓了输入的跳变部分。() 3、双稳态电路在电源接通后,电路一定处于V1饱和,V2截止。() 4、逻辑代数中的“加”和普通代数中的“加”完全一样。() 5、TTL电路中,V 6、V7对输入信号起箝位作用。() 6、式子A+AB=A成立。() 7、TTL电路实现了“与非”逻辑功能。() 8、由真值表得到的表达式一定是最简与或式。() 9、一位十六进制可以用四位二进制来表示。() 10、时序电路具有记忆功能。() 11、同步触发器中,CP脉冲到来之前,触发器不工作。() 12、编码和译码是两个相反的过程。() 13、对于JK触发器存在一次变化现象。()

(整理)数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门: 用一片二输入端四与非门组成或非门B + =,画出电路图,测试并填 = A A B Y? 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

单片机脉冲信号测量

郑州工业应用技术学院 课程设计说明书 题单片机脉冲信号测量 姓名: 院(系):信息工程学院专业班级:计算 机科学与技术学号: 指导教师: 成绩: 时间:年月日至年月日

摘要 脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以 实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号;频率;宽度;单片机AT89C51

目录 摘要............................................................... I 目录............................................................... II 第一章技术背景及意义 (1) 第二章设计方案及原理 (2) 第三章硬件设计任务 (3) 第四章软件结论 (12) 第五章参考文献 (13) 第六章附录 (14)

第一章技术背景及意义 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

如何看懂电路图之 脉冲电路

在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um 、脉冲周期T 或频率f 、脉冲前沿t r 、脉冲后沿t f 和脉冲宽度t k 来表示。如果一个脉冲的宽度t k =1 /2T ,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK 、2CK 、DK 、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1 )来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2 是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2 是接到一个负电源上的,而且R b1 和R b2 的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C ,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。 脉冲电路的另一个特点是一定有电容器(用电感较少)作关键元件,脉冲的产生、波形的变换都离不开电容器的充放电。 产生脉冲的多谐振荡器 脉冲有各种各样的用途,有对电路起开关作用的控制脉冲,有起统帅全局作用的时钟脉冲,有做计数用的计数脉冲,有起触发启动作用的触发脉冲等等。不管是什么脉冲,都是由脉冲信号发生器产生的,而且大多是短形脉冲或以矩形脉冲为原型变换成的。因为矩形脉冲含有丰富的谐波,所以脉冲信号发生器也叫自激多谐振荡器或简称多谐振荡器。如果用门来作比喻,多谐振荡器输出端时开时闭的状态可以把多谐振荡器比作宾馆的自动旋转门,它不需要人去推动,总是不停地开门和关门。 ( 1 )集基耦合多谐振荡器 图2 是一个典型的分立元件集基耦合多谐振荡器。它由两个晶体管反相器经RC 电路交叉耦合接成正反馈电路组成。两个电容器交替充放电使两管交替导通和截止,使电路不停地从一个状态自动翻转到另一个状态,形成自激振荡。从 A 点或 B 点可得到输出脉冲。当R b1 =R b2 =R ,C b1 =C b2 =C 时,输出是幅度接近E 的方波,脉冲周期T=1.4RC 。

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电子技术基础试题和答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( 10111111 )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12.

13.驱动共阳极七段数码管的译码器的输出电平为( 低)有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( A )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( B ) A . 八 B. 五 C. 四 D. 三 10.已知某触发的特性表如下(A 、B ( C )。 A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ D. Q n+1 = B

变频器电压检测电路

变频器的电压检测电路(新) ——正弦变频器电压检测实际电路分析 一、电路构成和原理简析 电压检测电路,是变频器故障检测电路中的一个重要组成部分,旨在保障使IGBT 逆变电路的工作电源电压在一特定安全范围以内,若工作电源危及IGBT (包含电源本身的储通电容)器件的安全时,实施故障报警、使制动电路投入工作、停机保护等措施。此外,少数机型还有对输出电压的检测,在一定程度上,起到对IGBT 导通管压降检测的同样作用,取代驱动电路中IGBT 的管压降检测电路。 1、电压检测电路的构成、电压采样方式及故障表现 图1 电路检测电路的构成(信号流程)框图 1、电压检测电路的电压采样形式(前级电路) 1)直接对DC530V 电压采样 78L05C 8 P N 图2 DC530V 电压检测电路之一 直接对P 、N 端DC530V 整流后电源电压进行进行采样,形成电压检测信号。如阿尔法ALPHA2000型变

频器的电压检测电路,如图2所示。 电路中U14线性光耦合器的输入侧供电,由开关变压器的独立绕组提供的交流电压,经整流滤波、由78L05稳压处理得到5V 电源所提供,电源地端与主电路N 端同电位。输出侧供电,则由主板+5V 所提供。 直流回路P 、N 端的DC530V 电压,直接经电阻分压,取得约120mV 的分压信号,输入U14(线性光耦合器,其工作原理前文已述)进行光、电隔离与线性放大后,在输出端得到放大了的检测电压信号,再由LF353减法放大器进一步放大,形成VPN 直流电压检测信号,经CNN1端子,送入MCU 主板上的电压检测后级电路。 2)由开关变压器次级绕组取得采样电路信号 +5V -42V 图3 DC530V 电压检测电路之二 N +5V N1输入电压波形示意图V T 截止 VT 饱合导通 0V 530V 5V 0V -42V N3输出电压波形示意图 压采样等效电路T1 图4 直流回路电压采样等效电路及波型示意图 主电路的DC550V 直流电压检测信号,并不是从主电路的P 、N 端直接取得,而是“间接”从开关电源的二次绕组取出,这是曾经令一些检修人员感到困惑、找不到电压检测信号是从何处取出的一件事情,也成为该部分电路检修的一个障碍。电压采样电路如上图4所示。 在开关管VT 截止期间,开关变压器TRAN 中储存的磁能量,由次级电路进行整流滤波得到+5V 工作电源,释放给负载电路;在VT 饱和导通期间,TC2从电源吸取能量进行储存。 N3二级绕组上产生的电磁感应电压,正向脉冲出现的时刻对应开关管的截止时间,宽度较大,幅值较低,经二极管D12正向整流后提供负载电路的供电,有电流释放回路;反向脉冲出现的时刻对应开关管的饱和导通时间,宽度极窄,但并不提供电流输出,回路的时间常数较大(不是作为供电电源应用,只是由R 、C 电路取得电压检测信号),故能在电容C17上维持较高的幅值。开关管VT 饱合导通时,相当于将N1绕组直接接入530V 电源,因而在同一时刻N3绕组此时所感应的负向脉冲电压,是直接反映N1绕组供电电压高低的,并与其成线性比例关系——N3绕组感应电压的高低,仅仅取决于N1、N3绕组的匝数比。整

模拟电路数字电路的脉冲电路信号处理

如何看懂脉冲电路 2010-06-2215:28:07作者:来源:21IC电子网 脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um、脉冲周期T或频率f、脉冲前沿t r、脉冲后沿t f和脉冲宽度t k来表示。如果一个脉冲的宽度t k=1/2T,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK、2CK、DK、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1)来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2是接到一个负电源上的,而且R b1和R b2的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字逻辑电路实验仪器仪表的使用与脉冲信号的实验报告

数电实验报告 电子科学系班级实验日期2017年5月16日 组员姓名: 实验一数字逻辑电路实验仪器仪表的使用与脉冲信号的一. 实验目的 1.学会数字电路实验装置的使用方法 2.学会双踪数字示波器的使用方法 3.掌握脉冲信号的测量方法 二.主要仪器仪表、材料 数字逻辑电路实验装置、双踪数字示波器、数字万用表、74LS04反相器(标记引脚图见图1.1) 图1.1 74LS0引脚图 三.实验内容及步骤 1.脉冲信号周期和幅值的测量 将数字双踪示波器的第一通道Y1端连接到1KHZ的测试方波信号(用于检测垂直和水平电路的基本功能),Y1置0.5V档、Y2置1V 档。调整示波器相应的开关和旋钮,在示波器上显示出稳定的Y1、Y2两路信号。分别用示波器的0.2ms、0.5ms、1ms时间档测量及记录波形,填表1.1。 表1.1

2.直流电平测量 (1)用示波器测量逻辑电平:示波器的第一通道Y1端连接数字逻辑电路实验装置的逻辑电平,分别用0.5V、1V、2V、5V幅度档测量并记录,填入表1.2。 表1.2 (2)用示波器测量单脉冲:示波器Y1输入端连接数字逻辑电路实验装置的单脉冲,1V幅度档测量并记录,填表1.3。 (3用数字万用表测量单脉冲、逻辑电平:数字万用的5V直流电压档分别测量并记录数字逻辑电路实验装置的单脉冲、逻辑电平信号,填表1.4。 表1.4

3.逻辑门电路传输延时时间t pd的测量 平均传输延迟时间tpd是衡量门电路开关速度的参数。它是指输出波 形边沿的0.5Vm点相对于输入波形对应边沿的0.5Vm点的时间延迟。通常将从输入波上沿中点到输出波下沿中点的时间延迟称为导通延迟时间tpdL,从输入波下沿中点到输出波上沿中点的时间延迟称为截止延迟时间tpdH。如图1.2所示,门电路的导通延迟时间为tpdL,截止延迟时间为tpdH,则平均传输延迟时间为:tpd=12(tpdL+tpdH)。 图1.2门电路的导通延迟时间与截止延迟时间用74LS04六反相器(非门)按图1.3接线,输入100KHZ的连续脉冲,用双踪数字示波器测量输入与输出信号的相位差,并计算每个门的平均传输延迟时间t pd的值。图1.3 四.回答问题: 简述示波器和数字逻辑电路实验装置的功能和使用方法。 答:①示波器是一种电子测量仪器,可用来观测电流波形、测定频率、电压波形等,主要由电子管放大器、扫描振荡器、阴极射线管等组成。使用方法,步骤一:选择Y轴耦合方式。根据被测电信号频率,将Y

数字电子技术课后答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

脉冲与数字电路模拟试题第1套及答案

数字电子技术(第2版) 第一套 A 卷 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平 为3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( )

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++=

相关文档
最新文档