计算机系统结构

计算机系统结构
计算机系统结构

第一章

例1.1 将计算机系统中某一功能的处理速度提高到原来的20倍,但该功能的处理时间仅占整个系统运行时间的40%,则采用此提高性能的方法后,能使整个系统的性能提高多少? 解 由题可知,可改进比例 = 40% = 0.4, 部件加速比 = 20 根据Amdahl 定律可知:

采用此提高性能的方法后,能使整个系统的性能提高到原来的1.613倍。

例1.2 某计算机系统采用浮点运算部件后,使浮点运算速度提高到原来的20倍,而系统运行某一程序的整体性能提高到原来的5倍,试计算该程序中浮点操作所占的比例。 解 由题可知,部件加速比 = 20,系统加速比 = 5 根据Amdahl 定律可知

()2011

5可改进比例

可改进比例+

-=

由此可得:可改进比例 = 84.2% 即程序中浮点操作所占的比例为84.2%。

例 1.3 A common transformation required in graphics processors is square root. Implementations of floating-point (FP) square root vary significantly in performance, especially among processors designed for graphics. Suppose FP square root (FPSQR) is responsible for 20% of the execution time of a critical graphics benchmark. One proposal

is to enhance the FPSQR hardware and speed up this operation by a factor of 10. The

other alternative is just to try to make all FP instructions in the graphics processor run faster by a factor of 1.6; FP instructions are responsible for half of the execution time for the application. The design team believes that they can make all FP instructions run 1.6 times faster with the same effort as required for the fast square root. Compare these two design alternatives.

例1.4Suppose we have made the following measurements:

Frequency of FP operations = 25%

Average CPI of FP operations = 4.0

Average CPI of other instructions = 1.33

Frequency of FPSQR= 2%

CPI of FPSQR = 20

Assume that the two design alternatives are to decrease the CPI of FPSQR to 2 or to decrease the average CPI of all FP operations to 2.5. Compare these two design alternatives using the processor performance equation.

解首先可求出两种情况下原始的CPI值:

CPI原始=(4×25%)+(1.33 × 75%)= 2.0

可以通过改进FPSQR部件缩减的时钟周期计算,改进后的CPI采用FPSQR技术CPI采用FPSQR技术=CPI原始–2%*(CPIFPSQR –CPI仅采用FPSQR )= 2- 2% (20-2)=1.64

而采用新的FP指令所需要的CPI采用FP值

CPI采用FP =(75% × 1.33)+(25% × 2.5)= 1.625

第二章

例题:某应用程序,简单的基本指令占80%,而复杂指令占20%,在传统CISC计算机上运行,简单指令的CPI=4,复杂指令的CPI=8,而在RISC计算机上运行只有简单指令,其CPI=1,复杂指令用简单指令合成实现。假设平均每条复杂指令需14条基本指令组合,请比较两个计算机系统运行该应用程序的性能。

解:设CISC计算机的时钟周期为TCISC,RISC计算机的时钟周期为TRISC,则:CISC 计算机的平均CPI=0.8×4+0.2×8=4.8

RISC计算机的指令数增加为

IRISC=0.8*ICISC+0.2*ICISC×14=3.6ICISC

因CPUtime=I×CPI×T

故CPUtime-CISC=ICISC ×4.8×TCISC

CPUtime-RISC=3.6ICISC×1×TRISC

所以计算机性能比=CPUtime-CISC/CPUtime-RISC=1.333

第三章

例如:一条4段的流水线中,S1,S2,S4各段的

时间都是Δt,唯有S3的时间是3Δt。

入 出

△t △t 3△t △t

最大吞吐率为

例如:对前面的4段流水线

把瓶颈段S 3细分为3个子流水线段:S 3a ,S 3b ,S 3c

入 出

改进后的流水线的吞吐率 :

例3.1 设在下图所示的静态流水线上计算:

)

(4

1

i i i

B A

+∏=

流水线的输出可以直接返回输入端或暂存于相应的流水寄存器中,试计算其吞吐率、加速比

和效率。

乘法

加减法

(每段的时间都为△t )

解:(1)选择适合于流水线工作的算法

? 先计算A 1+B 1、A 2+B 2、A 3+B 3和A 4+B 4; ? 再计算(A 1+B 1)×(A 2+B 2)和(A 3+B 3)×(A 4+B 4); ? 然后求总的乘积结果。

(2)画出时空图 时间

A 1

B 1 A 2 B 2 A 3 B 3 A 4 B 4

A B C D

A ×

B

C ×D

1 2 3 4

(3)计算性能

t

TP ?=

1872

1836=??=t

t S 25

.018

84364=??+?=E

可以看出,在求解此问题时,该流水线的效率不高。 例3.2 有一条动态多功能流水线由5段组成,加法用1、3、4、5段,乘法用1、2、5段,第2段的时间为2△t ,其余各段时间均为△t ,而且流水线的输出可以直接返回输入端或暂存于相应的流水寄存器中。若在该流水线上计算:

)

(4

1

i

i i

B A ?∑=

试计算其吞吐率、加速比和效率。

乘法

加法

解: (1) 选择适合于流水线工作的算法

? 应先计算A 1×B 1、A 2×B 2、A 3×B 3和A 4×B 4; ? 再计算(A 1×B 1)+(A 2×B 2)

(A 3×B 3)+(A 4×B 4);

? 然后求总的累加结果。

(2) 画出时空图 (3)

计算性能

时间

A 1

B 1

A 2

B 2

A 3

B 3

A 4

B 4 A B

C D

A +

B

C +D

例如:下面这一段代码存在数据相关。

例如:考虑下述代码: DIV.D

F2,F6,F4

ADD.D F6,F0,F12 SUB.D F8,F6,F14 DIV.D 和ADD.D 存在反相关。

进行寄存器换名(F6换成S )后,变成:

56.11828≈??=

t

t

S t

TP ?=

18731

.018

54344≈??+?=E

DIV.D F2,F6,F4

ADD.D S,F0,F12

SUB.D F8,S,F14

例3.3 在CRAY-1上用链接技术进行向量运算

D=A×(B+C)

假设向量长度N≤64,向量元素为浮点数,且向量B、C已存放在V0和V1中。

画出链接示意图,并分析非链接执行和链接执行两种情况下的执行时间。

解用以下三条向量完成上述运算:

V3 ←存储器// 访存取向量A

V2 ←V0 +V1// 向量B和向量C进行浮点加

V4 ←V2 ×V3// 浮点乘,结果存入V4

假设:把向量数据元素送往向量功能部件以及把结果存入向量寄存器需要一拍时间,从存储器中把数据送入访存功能部件需要一拍时间。

3条指令全部用串行方法执行,则执行时间为:

[(1+6+1)+N-1]+[(1+6+1)+N-1]

+[(1+7+1)+N-1] = 3N +22 (拍)

前两条指令并行执行,然后再串行执行第3条指令,则执行时间为:

[(1+6+1)+N-1]+[(1+7+1)+N-1]

= 2N+15 (拍)

第1、2条向量指令并行执行,并与第3条指令链接执行。

?从访存开始到把第一个结果元素存入V4所需的拍数

(亦称为链接流水线的建立时间)为:

[(1+6+1)] +[(1+7+1)] = 17 (拍)

?3条指令的执行时间为:

[(1+6+1)]+[(1+7+1)] +(N-1)= N+16 (拍)

例3.4(P98-99)设A和B是长度为N的向量,考虑在Cray-1向量处理器上实现以下的循环操作:

DO 10 I = 1,N

10 A(I)= 5.0 * B(I)+ C

第四章

例4.1 对于下述指令序列,给出当第一条指令完成并写入结果时,Tomasulo算法所用的各信息表中的内容。

L.D F6,34(R2)

L.D F2,45(R3)

MUL.D F0,F2,F4

SUB.D F8,F2,F6

DIV.D F10,F0,F6

ADD.D F6,F8,F2

解:当采用Tomasulo算法时,在上述给定的时刻,保留站、load缓冲器以及寄存器状态表中的内容。

例:当上述代码执行到MUL.D并准备会写结果时,写出个状态表的信息。

Load:1个时钟周期

加法:2个时钟周期

乘法:10个时钟周期

除法:40个时钟周期

例4.3 假设浮点功能部件的延迟时间为:加法2个时钟周期,乘法10个时钟周期,除法40个时钟周期。对于下面的代码段,给出当指令MUL.D即将确认时的状态表内容。

L.D F6,34(R2)

L.D F2,45(R3)

MUL.D F0,F2,F4

SUB.D F8,F6,F2

DIV.D F10,F0,F6

ADD.D F6,F8,F2

前瞻执行中MUL.D确认前,保留站和ROB的状态

例4.4 考察下面的循环在有推测和无推测的情况下,在双发射处理器上的执行过程。该循环递增一个定点数组中的所有元素;

Loop: LD X2, 0(X1)// 取一个数组元素放入X2

DADDIU X2,X2,#1 // 自增X2中的标量

SD X2, 0(X1)// 存结果

DADDIU X1,X1,#8

// 将指针减少8(每个数据占8个字节)BNE X2,X3,Loop

// 若R2不等于R3,表示尚未结束,转移到Loop继续假设有单独的定点功能单元进行有效地址计算、ALU运算和条件转移计算。给出循环的前三个循环体执行时间表。

例4.5 假设VLIW处理机每个时钟周期可同时流出5条指令:两条访存指令、两条浮点操作指令和一条整数指令或分支指令。对于例4.4中的循环展开后的代码,给出它在该VLIW 中的代码序列。不考虑分支指令的延迟槽。

解代码序列如下图所示。

运行时间为8个时钟周期。

每遍循环平均1.6个时钟周期。

8个时钟周期内流出了17条指令,每个时钟周期2.1条。

8个时钟周期共有操作槽8 5=40个,有效槽的比例为42.5%。

例4.6 对于下面的源代码,转换成MIPS汇编语言,

在不进行指令调度和进行指令调度两种情况下,分析其

代码一次循环所需的执行时间。

for (i=1;i<=1000;i++)

x[i] = x[i] + s;

把该程序翻译成MIPS汇编语言代码:

假设R1的初值是指向第一个元素,8(R2)指向最后一个元素。Loop:L.D F0,0(R1)

ADD.D F4,F0,F2

S.D F4, 0(R1)

DADDIU R1,R1,#-8

BNE R1,R2,Loop

其中:

?整数寄存器R1:指向向量中的当前元素。

(初值为向量中最高端元素的地址)

浮点寄存器F2:用于保存常数s。

Loop:L.D F0,0(R1)

ADD.D F4,F0,F2

S.D F4, 0(R1)

DADDIU R1,R1,#-8

BNE R1,R2,Loop

其中:

?整数寄存器R1:指向向量中的当前元素。

(初值为向量中最高端元素的地址)?浮点寄存器F2:用于保存常数s。

不进行指令调度的情况下,程序的实际执行情况:

指令流出时钟

Loop: L.D F0,0(R1) 1

(空转) 2

ADD.D F4,F0,F2 3

(空转) 4

(空转) 5

S.D F4, 0(R1) 6

DADDIU R1,R1,# -8 7

(空转)8

BNE R1,R2,Loop 9

(空转)10

每个元素的操作需要10个时钟周期,其中5个是空转周期。

指令调度以后,程序的执行情况如下:

?把DADDIU指令调度到了L.D指令和ADD.D指令之间的“空转”拍。

?把S.D指令放到了分支指令的延迟槽中。

?对存储器地址偏移量进行调整。

例4.7 (体现循环展开技术的特点)

将上述例子中的循环展开3次得到4个循环体,然后对展开后的指令序列在不调度和调度两种情况下,分析代码的性能。假定R1的初值为32的倍数,即循环次数为4的

倍数。消除冗余的指令,并且不要重复使用寄存器。

无需在循环体后面增加补偿代码

分配寄存器(不重复使用寄存器):

?F0、F4:用于展开后的第1个循环体

?F2:保存常数

?F6、F8:展开后的第2个循环体

?F10、F12:第3个循环体

?F14、F16:第4个循环体

结果分析:

?这个循环每遍共使用了28个时钟周期。

?有4个循环体,完成4个元素的操作。

平均每个元素使用28/4=7个时钟周期

?原始循环的每个元素需要10个时钟周期。

节省的时间:从减少循环控制的开销中获得的。

在整个展开后的循环中,实际指令只有14条,其他14个周期都是

空转。

效率并不高

例4.8 下面是前面使用的循环程序段,对其进行循环展开,并在超标量流水线上进行调度。

Loop: L.D F0,0(R1)// 取一个数组元素放入F0

ADD.D F4,F0,F2 // 加上在F2中的标量

S.D F4,0(R1)// 存结果

DADDIU R1,R1,#-8

// 将指针减少8(每个数据占8个字节)

BNE R1,R2,Loop

// 若R1不等于R2,表示尚未结束,转移到Loop继续

?每次循环需12个时钟周期,即计算每个结果需要2.4个时钟周期。

(每次循环计算5个结果)

?在普通的MIPS流水线上,没有调度的代码迭代一次为9个时钟周

期,调度后为6个时钟周期,展开4次并调度后每个迭代为3.5个

时钟周期。

?与之相比,超标量流水线的性能提高分别为:

3.75倍、2.5倍、1.4倍

?在这个例子中可以看到,超标量MIPS流水线的性能主要受限于:

整数计算和浮点计算之间的平衡问题。

本例中没有足够的浮点指令来使两路流水线都达到饱和。

第五章

例5.1 用一个和Alpha AXP类似的机器作为第一个例子。假设Cache不命中开销为50个时钟周期,当不考虑存储器停顿时,所有指令的执行时间都是2.0个时钟周期,访问Cache

北邮高级计算机系统结构实验二三四五

实验二指令流水线相关性分析 ·实验目的 通过使用WINDLX模拟器,对程序中的三种相关现象进行观察,并对使用专用通路,增加运算部件等技术对性能的影响进行考察,加深对流水线和RISC处理器的特点的理解。 ·实验原理: 指令流水线中主要有结构相关、数据相关、控制相关。相关影响流水线性能。·实验步骤 一.使用WinDLX模拟器,对做如下分析: (1)观察程序中出现的数据/控制/结构相关。指出程序中出现上述现象的指令组合。 (2)考察增加浮点运算部件对性能的影响。 (3)考察增加forward部件对性能的影响。 (4)观察转移指令在转移成功和转移不成功时候的流水线开销。 ·实验过程 一.使用WinDLX模拟器,对做如下分析: } 浮点加、乘、除部件都设置为1,浮点数运算部件的延时都设置为4,如图1: 图1 初始设置 将和加载至WinDLX中,如图2示。

图2 加载程序 1.观察程序中出现的数据/控制/结构相关;指出程序中出现上述现象的指令组合。 1)数据相关 点击F7,使程序单步执行,当出现R-Stall时停止,运行过程中出现下图3所示,输入整数6。 图3 输入整数6 @ 打开Clock Diagram,可以清楚的看到指令执行的流水线如图4所示。 图4 指令流水线 双击第一次出现R-Stall的指令行,如图5所示。

图5 指令详细信息 对以上出现的情况分析如下: 程序发生了数据相关,R-Stall(R-暂停)表示引起暂停的原因是RAW。 lbu r3,0×0(r2) 要在WB周期写回r3中的数据;而下一条指令 & seqi r5,r3,0×a 要在intEX周期中读取r3中的数据。 上述过程发生了WR冲突,即写读相关。为了避免此类冲突, seq r5,r4,0×a的intEX指令延迟了一个周期进行。 由此,相关指令为: 2)控制相关 由图6可以看出,在第4时钟周期:第一条指令处于MEM段,第二条命令处于intEX段,第三条指令出于aborted状态,第四条命令处于IF段。 图 6 指令流水线 }

计算机系统结构考试计算题

3.12 有一指令流水线如下所示 (1) 求连续输入10条指令,该流水线的实际吞吐率和效率; (2) 该流水线的“瓶颈”在哪一段?请采取两种不同的措施消除此“瓶颈”。 对于你所给出的两种新的流水线,连续输入10条指令时,其实际吞吐率和效率各是多少? 解:(1) 2200(ns)2009200)10050(50t )1n (t T max m 1 i i pipeline =?++++=?-+?=∑= )(ns 220 1 T n T P 1pipeline -== 45.45%11 5 4400T P m t T P E m 1 i i ≈=? =?? =∑= (2)瓶颈在3、4段。 ? 变成八级流水线(细分) 850(ns)509850t 1)(n t T max m 1 i i pipeline =?+?=?-+?=∑= )(ns 85 1 T n T P 1pipeline -== 58.82%17 10 8400T P m ti T P E m 1 i ≈=? =?? =∑= ? 重复设置部件 出 50ns 50ns 100ns 200ns

)(ns 85 1 T n T P 1pipeline -== 58.82%17 10885010400E ≈=??= 3.13 4段组成,3段时,一次,然4段。如果 需要的时间都是,问: (1) 当在流水线的输入端连续地每时间输入任务时,该流水线会发生 什么情况? (2) 此流水线的最大吞吐率为多少?如果每输入一个任务,连续处理 10个任务时的实际吞吐率和效率是多少? (3) 当每段时间不变时,如何提高该流水线的吞吐率?仍连续处理10个 任务时,其吞吐率提高多少? (2) t ?t ?2

计算机系统结构模拟试题(5)

计算机组成原理模拟试题(2) 一、填空题:04分,每题02分 1、X=-0.1001 [X]原=_____________ [X]补=_____________[-X]补=_____________ Y=0.0101 [Y]原=_____________ [Y]补=_____________ [-Y]补=_____________ [X+Y]补=_____________ 2、对西文输出的字符设备,在计算机的内存储器中存储的是字符数据的每个字符的_____________码,输出(包括显示或打印)的则是每个字符的_____________,设备中的字符发生器的主要功能是解决从字符的_____________码和字符的_____________间的对应关系。 二、单选题:20分,每题02分 3、32 x 32点阵汉字的机内编码需要。 A: 16个字节 B: 32个字节 C: 32×2个字节 D: 32×4个字节 4、某机字长32位,采用原码定点整数表示,符号位为1位,数值位为31位,则可表示的最大正整数为,最小负整数为。 A: B: C: D: 5、在定点二进制运算器中,减法运算一般通过来实现。 A: 原码运算的二进制减法器 B: 补码运算的二进制减法器 C: 补码运算的十进制加法器 D: 补码运算的二进制加法器 6、在浮点数运算中产生溢出的原因是。 A: 运算过程中最高位产生了进位或借位 B: 参加运算的操作数超出了机器的表示范围 C: 运算的结果的阶码超出了机器的表示范围 D: 寄存器的位数太少,不得不舍弃最低有效位 7、无论如何划分计算机的功能部件,控制器部件中至少含有。 A: PC、IP B: PC、IR C: IR、IP D: AR、IP 8、某存储器容量为32K×16位,则 A: 地址线为16根,数据线为32根 B: 地址线为32根,数据线为16根

计算机体系结构实验报告二

实验二结构相关 一、实验目得: 通过本实验,加深对结构相关得理解,了解结构相关对CPU性能得影响。 二、实验内容: 1、用WinDLX模拟器运行程序structure_d、s 。 2、通过模拟,找出存在结构相关得指令对以及导致结构相关得部件。 3、记录由结构相关引起得暂停时钟周期数,计算暂停时钟周期数占总执行 周期数得百分比。 4、论述结构相关对CPU性能得影响,讨论解决结构相关得方法。 三、实验程序structure_d、s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; < A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit < this is a ment !! A: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 四、实验过程 打开软件,load structure_d、s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(Rstall 数据相关Stall 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面得数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关得部件:译码部件。

计算机系统结构试卷B

《计算机系统结构B卷》 一、填空题(每小题1.5分,共30分)。 1.对系列机而言,必须保证做到软件,力争做到软件向上兼容。 2.由软件实现的计算机称之为。 3. 多处理机系统按组织形式分有三种,功能分布是多处理机系统分工方式。 4.依据从哪一层开始设计,计算机体系结构设计的主要方法有三种,占据主导地位的是设计。 5. 在先行控制方式实现流水线的处理器中,有先行指令、先行操作、先行读数和后行写数等四个缓冲栈,缓冲深度最大的是。 6.按流水线的功能多寡分,可分为单功能流水线和。 7. 用于表示非线性流水线中的任务对未进入流水线的后继任务流入流水线的时间间隔的约束称为。 8.中断转移相关处理的基本方法包括不精确断点法和。 9. 互连网络中任意两个结点之间距离的最大值称为。 10.在多级交叉开关互联网络中,交叉开关的控制方式有、组控制和单元控制。 11. 在多计算机系统的互连网络中,通信模式包括、选播、广播和会议等四种。 12. 描述网络寻径效率常用的两个参数是通道流量和。 13.自定义数据表示包括标志符和两种数据表示。 14. 浮点数尾数基值越大,浮点数表示的数据范围。 15. 根据运算类型指令操作数存储方法不同,指令集结构可分为堆栈型、累加器型和。 16. 标量处理机是否高度并行是以指令级并行度(ILP)为来区分。 17.存储系统的基本存储层次有、主存储器和辅助存储器。 18. 采用并行存储器的目的是。 19. 从时间开销来看,伪命中的时间正常命中的时间。 20. 增加Cache存储系统的相联度,可降低Cache的不命中率,但会增加Cache 的。

二、简答题(每小题6分,共30分)。 1.多计算机系统和多处理机系统的差别有哪几方面?其中最根本的差别是哪个方面? 2. 什么是流水线相关?流水线相关可分为哪几大类? 3. 什么是动态互连网络?动态互连网络的互联形式有哪几种? 4. 指令系统设计包括哪两个方面?指令格式优化设计的目的是什么? 5. 维护Cache与主存一致性的更新算法有哪些? 三、分析题(第一小题8分,第二小题12分,共20分)。 1.某种处理机10条指令的使用频度分别为:0.25,0.20,0.15,0.10,0.08,0.08,0.05,0.04 ,0.03 ,0.02,试画出该处理机进行Huffman编码时的一棵Huffman树。 2. 在某采用全相联映象、相联目录表实现地址变换Cache存储器中,Cache 的容量是8KB,主存是由4个存储体组成的低位交叉访问存储器,主存总容量是32MB,每一个存储体的字长是32位,。 (1)写出主存地址和Cache地址的格式,并标出各字段的长度。 (2)说明目录表的行数、相联比较的位数和目录表的宽度。 四、计算题(第一小题8分,第二小题12分,共20分)。 1. 设16个处理器编号分别为0、1、……、15,用单级互连网络连接,当互连函数分别为:(1)Cube3、(2)PM+3、(3)Shuffle(Shuffle)时,第13号处理器分别与哪一个处理器相连? 2. 有一条5个功能段的线性动态多功能流水线如图所示,其中1→2→3→5功能段组成加法流水线,1→4→5功能段组成乘法流水线,设每个功能段的延迟时间 均相等为△t。用这条流水线计算F=4 1() i i i a b = + ∏,画出流水线时空图,并计算流水线的实际吞吐率、加速比和效率。 Z

计算机系统结构模拟试题5

计算机组成原理模拟试题(2)一、填空题:04分,每题02分 =_____________ [X]补=_____________补-X][1、 X=-0.1001 [X]原 =_____________ =_____________ =_____________ [-Y]补Y]原=_____________ []补 Y=0.0101 [Y ]补=_____________ [X+Y对西文输出的字符设备,在计算机的内存储器中存储的是 字符数据的每个字符的、 2,设备中的字符_____________(包括显示或打印)的则是每个字 符的码,输出_____________间的对应关_____________发生器的主要功能是解决从字符的 _____________码和字符的系。分二、单选题:20分,每题02 。3、 32 x 32点阵汉 字的机内编码需要 A: 16个字节 B: 32个字节 2个字节 C: 32×个字节 D: 32×4位,则可表示位,数值位为314、某机字长32位,采 用原码定点整数表示,符号位为1 。,最小负整数为的最大正整数为 A: B: C: D: 来实现。 5、在定点二进制运算器中,减法运算一般通过 A: 原码运算的二进制减法器 B: 补码运算的二进制减法器 C: 补码运算的十进制加法器 D: 补码运算的二进制加法器 。 6、在浮点数运算中产生溢出的原因是运算过程中最高位产生了进位或借位 A: 参加运算的操作数超出了机器的表示范围B: C: 运算的结果的阶码超出了机器的表示范围寄存器的位数太少,不得不舍弃最低有效位 D: 。 7、无论如何划分计算机的功能部件,控制器部件中至少含有 IP A: PC、 IR B: PC、、IP C: IR D: AR、IP 8、某存储器容量为32K×16位,则 A: 地址线为16根,数据线为32根 根16根,数据线为32地址线为B: C: 地址线为15根,数据线为16根 D: 地址线为15根,数据线为32根 9、在统一编址方式下,存储单元和I/O设备是靠指令中的来区分的。

计算机系统结构期末考试题目

第一章: 1.计算机系统结构的定义 答:由程序设计者看到的一个计算机系统的属性,即概念性结构和功能特性。 2.透明性概念 答:在计算机技术中,一种本来是存在的事物或属性,但从某种角度看似乎不存在,称为透明性现象。 3.兼容性向后兼容 兼容性:同一个软件可以不加修改地运行于系统结构相同的各档机器,可获得相同的结果,差别只在于不同的运行时间。 向后兼容:按某个时期投入市场的某种型号机器编制的程序,不加修改就能运行于在它之后投入市场的机器。 4.Amdahl定律 答:系统中某一部件由于采用某种更快的执行方式后整个系统性能的提高与这种执行方式的使用频率或占总执行时间的比例有关。 5.CPI 答:每条指令的平均时钟周期数。 6.MIPS 答:每秒百万条指令数!MIPS=时钟频率/(CPI*10^6) 7.MFLOPS 答:每秒百万次浮点操作次数。MFLOPS=程序中的浮点操作次数/(执行时间*10^6) 8.命中率的概念 答: 9.Flynn分类法是按指令流和数据流的多倍性特征进行计算机系统结构的划分 答:①单指令流单数据流SISD ②单指令流多数据流SIMD ③多指令流单数据流MISD (实际不存在)④多指令流多数据流MIMD 10.计算机系统设计的定量原理(四个) 答:①加快经常性事件的速度②Amdahl定律③CPU性能公式④访问的局部性原理11.CPI和加速比的计算 答:CPI=CPU时钟周期数/IC CPU时间=CPU时钟周期数/频率 CPU时间=CPU时钟周期*时钟周期长 加速比=(采用改进措施后的性能)/(没有采用改进措施前的性能) =(没有采用改进措施前执行某任务的时间)/(采用改进措施后执行某任务的时间) 12.软硬件实现的特点 硬件实现:速度快、成本高;灵活性差、占用内存少 软件实现:速度低、复制费用低;灵活性好、占用内存多 13.系统评价的标准 ①运算速度②存储器系统③其他性能④成本标准

计算机系统结构模拟题

《计算机系统结构》模拟题 一.判断是非题,对的打√,错的打× 1.系列机是指由一个厂家生产的具有相同组成,但具有不同系统结构和实现的一系列不同型号的机器。 ( × ) 2.Cray 1向量处理机中,由于每个向量寄存器的长度为64,当实际需要处理的向量长度大于64时,它就不能够处理了。 ( × ) 3.按照Flynn 分类法,Illiac IV 阵列处理机是MIMD 计算机。 ( × ) 4.多级混洗交换网络是阻塞网络。 ( √ ) 二.填空题 1.多机系统的互连网络的通信模式可分为4种,其中,一对一的通信模式称为____单播_____模式,一对全体的通信模式为_____广播____模式,多对多的通信模式为____会议_____模式。 2.SIMD 计算机和流水线向量处理机都可以执行向量指令,前者采用___资源重复______并行性,后者采用___时间重叠______并行性。 3.系列机软件兼容必须做到___向后_____兼容,力争做到___向上_____兼容。 4.流水线消除瓶颈段的方法有____细分_____和____重复设置瓶颈段(可交换次序)_____2种方法。 5.设通道数据传送过程中,选择一次设备的时间为 s T ,传送一个字节的时间为D T ,则字 节多路通道最大流量等于____ D s T T 1 _____。 6.Illiac IV 8×8阵列中,网络直径为____7_____。 7.对堆栈型替换算法,增大分配给程序的___主存页面______,对第一级存储器的命中率就会单调____上升_____。 8.从网络的任何结点看,若网络拓扑结构都是相同的,则称这样的网络是___对称______网络。 三.单项选择题 1.在计算机系统层次结构中,从下层到上层,各层相对顺序正确的是( B )。 A.汇编语言机器级-操作系统机器级-高级语言机器级 B.微程序机器级-传统机器语言机器级-汇编语言机器级 C.传统机器语言机器级-高级语言机器级-汇编语言机器级 D.汇编语言机器级-应用语言机器级-高级语言机器级 2.Illiac IV 阵列处理机中,PE 之间所用的互连函数是( A )。

计算机系统结构考试题库及答案

计算机系统结构试题及答案 一、选择题(50分,每题2分,正确答案可能不只一个,可单选 或复选) 1.(CPU周期、机器周期)是内存读取一条指令字的最短时间。 2.(多线程、多核)技术体现了计算机并行处理中的空间并行。 3.(冯?诺伊曼、存储程序)体系结构的计算机把程序及其操作数 据一同存储在存储器里。 4.(计算机体系结构)是机器语言程序员所看到的传统机器级所具 有的属性,其实质是确定计算机系统中软硬件的界面。 5.(控制器)的基本任务是按照程序所排的指令序列,从存储器取 出指令操作码到控制器中,对指令操作码译码分析,执行指令操作。 6.(流水线)技术体现了计算机并行处理中的时间并行。 7.(数据流)是执行周期中从内存流向运算器的信息流。 8.(指令周期)是取出并执行一条指令的时间。 9.1958年开始出现的第二代计算机,使用(晶体管)作为电子器件。 10.1960年代中期开始出现的第三代计算机,使用(小规模集成电路、 中规模集成电路)作为电子器件。 11.1970年代开始出现的第四代计算机,使用(大规模集成电路、超 大规模集成电路)作为电子器件。 12.Cache存储器在产生替换时,可以采用以下替换算法:(LFU算法、 LRU算法、随机替换)。

13.Cache的功能由(硬件)实现,因而对程序员是透明的。 14.Cache是介于CPU和(主存、内存)之间的小容量存储器,能高 速地向CPU提供指令和数据,从而加快程序的执行速度。 15.Cache由高速的(SRAM)组成。 16.CPU的基本功能包括(程序控制、操作控制、时间控制、数据加 工)。 17.CPU的控制方式通常分为:(同步控制方式、异步控制方式、联合 控制方式)反映了时序信号的定时方式。 18.CPU的联合控制方式的设计思想是:(在功能部件内部采用同步控 制方式、在功能部件之间采用异步控制方式、在硬件实现允许的情况下,尽可能多地采用异步控制方式)。 19.CPU的同步控制方式有时又称为(固定时序控制方式、无应答控 制方式)。 20.CPU的异步控制方式有时又称为(可变时序控制方式、应答控制 方式)。 21.EPROM是指(光擦可编程只读存储器)。 22.MOS半导体存储器中,(DRAM)可大幅度提高集成度,但由于(刷 新)操作,外围电路复杂,速度慢。 23.MOS半导体存储器中,(SRAM)的外围电路简单,速度(快),但 其使用的器件多,集成度不高。 24.RISC的几个要素是(一个有限的简单的指令集、CPU配备大量的 通用寄存器、强调对指令流水线的优化)。

计算机系统结构第一章自考练习题答案

第一章计算机系统结构的基本概念 历年真题精选 1. 下列对系统程序员不透明的是()。 A. 乘法器 B. 先行进位链 C. 指令缓冲器 D. 条件码寄存器2.“从中间开始”设计的“中间”目前多数是在( D )。 A. 微程序机器级与汇编语言机器级之间 B. 操作系统机器级与汇编语言机器级之间 C. 传统机器语言机器级与微程序机器级之间 D. 传统机器语言机器级与操作系统机器级之间 3. 开发计算机系统结构并行性的主要技术途径有时间重叠、(资源重复)和(资源 共享)。 4. 计算机系统弗林分类法,把计算机系统分成单指令流单数据流(SISD)、单指令流多数 据流(SIMD)、(多指令流单数据流(MISD))和(多指令流多数据流(MIMD))四大类。 5. 设计指令系统时,以乘法运算为例,简述系统结构设计、计算机组成设计、计算机实现 各应考虑的问题。( P4) 6. 实现软件移植的途径有哪些各受什么限制( P14) 同步强化练习 一.单项选择题。 1. 实现汇编语言源程序变换成机器语言目标程序是由( C )。 A. 编译程序翻译 B. 编译程序解释 C. 汇编程序翻译 D. 汇编程序解释 2. 系列机软件应做到( B ) A. 向前兼容,并向下兼容 B. 向后兼容,力争向上兼容 C. 向前兼容,并向上兼容 D. 向后兼容,力争向下兼容 3. 在计算机系统多级层次结构中,机器级由低到高,相对顺序正确的应当是( B )。 A. 传统机器语言、汇编语言、操作系统 B. 微程序、传统机器语言、高级语言 C. 高级语言、汇编语言、传统机器语言 D. 传统机器语言、应用语言、高级语言 4. 可以直接执行微指令的是( C )。 A. 编译程序 B. 微程序 C. 硬件 D. 汇编程序 5. 计算机系统结构不包括( A )。 A. 主存速度 B. 数据表示 C. 机器工作状态 D. 信息保护 6. 对计算机系统结构透明的是()。 A. 是否使用通道型I/0处理机 B. 虚拟存储器 C. 字符行运算指令 D. VLSI技术 7. 在主存设计上,属计算机系统结构考虑的应是( C )。 A. 频宽的确定 B. 多体交叉还是单体 C. 容量和编址单位 D. 用MOS还是TTL 8. 计算机组成设计不考虑( B )。 A. 缓冲技术 B. 功能部件的集成度 C. 专用部件设置 D. 控制机构的组成

计算机系统结构

计算机系统结构

课后习题 第一章计算机系统结构的基本概念 5.从机器(汇编)语言程序员看,以下哪些是透明的? 指令地址寄存器;指令缓冲器;时标发生器;条件寄存器;乘法器;主存地址寄存器;磁盘外设;先行进位链;移位器;通用寄存器;中断字寄存器。 答:透明的有:指令缓冲器、时标发生器、乘法器、先进先出链、移位器、主存地址寄存器。 6.下列哪些对系统程序员是透明的?哪些对应用程序员是透明的? 系列机各档不同的数据通路宽度;虚拟存储器;Cache存储器;程序状态字;“启动I/O”指令;“执行”指令;指令缓冲寄存器。 答:对系统程序员透明的有:系列机各档不同的数据通路宽度;Cache存储器;指令缓冲寄存器; 对应用程序员透明的有:系列机各档不同的数据通路宽度;Cache存储器;指令缓冲寄存器;虚拟存储器;程序状态字;“启动I/O”指令。 note: 系列机各档不同的数据通路宽度、Cache存贮器、指令缓冲寄存器属于计算机组成,对系统和程序员和应用程序员都是透明的。

虚拟存贮器、程序状态字、“启动I/O”指令,对系统程序员是不透明的,而对应用程序员却是透明的。 “执行”指令则对系统程序员和应用程序员都是不透明的。 8. 实现软件移植的主要途径有哪些?分别适用于什么场合?各存在什么问题?对这些问题应采取什么对策?如果利用计算机网络实现软件移植,计算机网络应当如何组成?

第二章数据表示与指令系统 1.数据结构和机器的数据表示之间是什么关系?确定和引入数据表示的基本原则是什么? 答:数据表示是能由硬件直接识别和引用的数据类型。数据结构反映各种数据元素或信息单元之间的结构关系。 数据结构要通过软件映象变换成机器所具有的各种数据表示实现,所以数据表示是数据结构的组成元素。不同的数据表示可为数据结构的实现提供不同的支持,表现在实现效率和方便性不同。数据表示和数据结构是软件、硬件的交界面。 除基本数据表示不可少外,高级数据表示的引入遵循以下原则:

北邮计算机系统结构实验报告-实验一到五-WINDLX模拟器

北京邮电大学 实验报告 课程名称计算机系统结构 计算机学院03班 王陈(11)

目录 实验一WINDLX模拟器安装及使用......................................... 错误!未定义书签。 ·实验准备................................................................................ 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验内容及要求.................................................................... 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验二指令流水线相关性分析 ............................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验三DLX处理器程序设计 .................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 A.向量加法代码及性能分析 ................................................... 错误!未定义书签。 B.双精度浮点加法求和代码及结果分析 .............................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验四代码优化 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结+实习体会........................................................... 错误!未定义书签。实验五循环展开 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 矩阵乘程序代码清单及注释说明........................................... 错误!未定义书签。 相关性分析结果........................................................................... 错误!未定义书签。 增加浮点运算部件对性能的影响........................................... 错误!未定义书签。 增加forward部件对性能的影响 ............................................ 错误!未定义书签。 转移指令在转移成功和转移不成功时候的流水线开销 .. 错误!未定义书签。 ·实验总结+实习体会+课程建议......................................... 错误!未定义书签。

计算机系统结构期末考试试题及其答案

计算机系统结构期末考试试题及其答案

《计算机系统结构》期末考试试卷A 卷第 2 页 共 24 页 计算机科学系《计算机系统结构》期末考试试卷(A 卷) 2、此试卷适用于计算机科学与技术本科专业。 一 单选题:(10分,每题1分) 1、 ."启动I/O"指令是主要的输入输出指 令,是属于( B ) A.目态指令 B.管态指令 C.目态、管态都能用的指令 D.编译程序只能用的指令 2、 输入输出系统硬件的功能对(B )是透 明的 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 3、 全相联地址映象是指(A ) A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间固定,组内任何虚页可装入任何实页位

置 D.组间可任意装入,组内是固定装入 4、( C ) 属于MIMD系统结构 A.各处理单元同时受一个控制单元的管理 B.各处理单元同时受同个控制单元送来的指令 C.松耦合多处理机和多计算机系统 D.阵列处理机 5、多处理机上两个程序段之间若有先写 后读的数据相关,则(B ) A.可以并行执行 B.不可能并行 C.任何情况均可交换串行 D.必须并行执行 6、计算机使用的语言是(B) A.专属软件范畴,与计算机体系结构无关 B.分属于计算机系统各个层次 C.属于用以建立一个用户的应用环境 D.属于符号化的机器指令 7、指令执行结果出现异常引起的中断是 (C ) A.输入/输出中断 B.机器校验中断 C.程序性中断 D.外部中断 《计算机系统结构》期末考试试卷A卷第 3 页共 24 页

计算机系统结构模拟题

《计算机系统结构》模拟题(补)一.单项选择题 1. SIMD是指()。 A、单指令流单数据流 B、单指令流多数据流 C、多指令流单数据流 D、多指令流多数据流 2. 磁盘外部设备适合于连接到()。 A.字节多路通道B.数组多路通道或选择通道 C.选择通道或字节多路通道D.数组多路通道或字节多路通道 3. 下列()存储设备不需要编址。 A. 通用寄存器 B. 主存储器 C. 输入输出设备 D. 堆栈 4.多处理机的各自独立型操作系统( )。 A.要求管理程序不必是可再入的 B.适合于紧耦合多处理机 C.工作负荷较平衡 D.有较高的可靠性 5.输入输出系统硬件的功能对( )是透明的。 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 6. 实现汇编语言源程序变换成机器语言目标程序是由()。 A.编译程序解释B.编译程序翻译 C.汇编程序解释D.汇编程序翻译 7.全相联地址映象是指( )。 A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间是固定的,而组任何虚页可以装入任何实页位置 D.组间可任意装入,组是固定装入 8.( )属于MIMD系统结构。 A.各处理单元同时受同一个控制单元的管理 B.各处理单元同时接受同一个控制单元送来的指令 C.松耦合多处理机和多计算机 D.阵列处理机

9.设16个处理器编号分别为0,1,2,…,15用Cube3互联函数时,第10号处理机与第( ) 号处理机相联。 A.11 B.8 C.14 D.2 10.若输入流水线的指令既无局部性相关,也不存在全局性相关,则( )。 A.可获得高的吞吐率和效率 B.流水线的效率和吞吐率恶化 C.出现瓶颈 D.可靠性提高 11.流水线的技术指标不包括( )。 A.响应比 B.吞吐率 C.加速比 D.效率 12.指令优化编码方法,就编码的效率来讲,方法最好是()。 A. 固定长度编码 B. 扩展编码法 C. Huffman编码法 D. 以上编码都不是 13.RISC 计算机的指令系统集类型是 ( ) 。 A. 堆栈型 B. 累加器型 C. 寄存器—寄存器型 D. 寄存器 - 存储器型 14.相联存储器的访问方式是( )。 A.先进先出顺序访问B.按地址访问 C.无地址访问D.按容访问 15.存储器读写速率越高,每位的成本也越高,存储容量也小。解决这一问题的主要方法是采用( )。 A.多级存储体系结构B.并行存储器 C. Cache D.缓冲技术 16.计算机系统多级层次中,从下层到上层,各级相对顺序正确的应当是()。 A.汇编语言机器级---操作系统机器级---高级语言机器级 B.微程序机器级---传统机器语言机器级---汇编语言机器级 C.传统机器语言机器级---高级语言机器级---汇编语言机器级 D. 汇编语言机器级---应用语言机器级---高级语言机器级 17.对系统程序员不透明的是()。 A.Cache 存储器 B.系列几各档不同的数据通路宽度 C.指令缓冲寄存器 D.虚拟存储器 18.在计算机系统设计中,比较好的方法是()。

计算机系统结构实验报告

计算机系统结构实验报告 一.流水线中的相关 实验目的: 1. 熟练掌握WinDLX模拟器的操作和使用,熟悉DLX指令集结构及其特点; 2. 加深对计算机流水线基本概念的理解; 3. 进一步了解DLX基本流水线各段的功能以及基本操作; 4. 加深对数据相关、结构相关的理解,了解这两类相关对CPU性能的影响; 5. 了解解决数据相关的方法,掌握如何使用定向技术来减少数据相关带来的暂停。 实验平台: WinDLX模拟器 实验内容和步骤: 1.用WinDLX模拟器执行下列三个程序: 求阶乘程序fact.s 求最大公倍数程序gcm.s 求素数程序prim.s 分别以步进、连续、设置断点的方式运行程序,观察程序在流水线中的执行情况,观察 CPU中寄存器和存储器的内容。熟练掌握WinDLX的操作和使用。 2. 用WinDLX运行程序structure_d.s,通过模拟找出存在资源相关的指令对以及导致资源相 关的部件;记录由资源相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行周期数的 百分比;论述资源相关对CPU性能的影响,讨论解决资源相关的方法。 3. 在不采用定向技术的情况下(去掉Configuration菜单中Enable Forwarding选项前的勾选符),用WinDLX运行程序data_d.s。记录数据相关引起的暂停时钟周期数以及程序执行的 总时钟周期数,计算暂停时钟周期数占总执行周期数的百分比。 在采用定向技术的情况下(勾选Enable Forwarding),用WinDLX再次运行程序data_d.s。重复上述3中的工作,并计算采用定向技术后性能提高的倍数。 1. 求阶乘程序 用WinDLX模拟器执行求阶乘程序fact.s。这个程序说明浮点指令的使用。该程序从标准 输入读入一个整数,求其阶乘,然后将结果输出。 该程序中调用了input.s中的输入子程序,这个子程序用于读入正整数。 实验结果: 在载入fact.s和input.s之后,不设置任何断点运行。 a.不采用重新定向技术,我们得到的结果

计算机系统结构考试计算题

有一指令流水线如下所示 入 1 2 3 4 出 50ns 50ns 100ns 200ns (1) 求连续输入10条指令,该流水线的实际吞吐率和效率; (2) 该流水线的“瓶颈”在哪一段请采取两种不同的措施消除此“瓶颈”。对 于你所给出的两种新的流水线,连续输入10条指令时,其实际吞吐率和效率各是多少 解:(1) 2200(ns) 2009200)10050(50t )1n (t T max m 1 i i pipeline =?++++=?-+?=∑= )(ns 220 1T n TP 1pipeline -== 45.45%11 5 4400TP m t TP E m 1 i i ≈=? =?? =∑= (2)瓶颈在3、4段。 变成八级流水线(细分) 850(ns) 509850t 1)(n t T max m 1 i i pipeline =?+?=?-+?=∑= )(ns 85 1 T n TP 1pipeline -== 58.82%17 10 8400TP m ti TP E m 1 i ≈=? =?? =∑= 重复设置部件 1 2 3_1 3_2 4_1 4_4 入 出

)(ns 851T n TP 1pipeline -== 58.82%17 10 8 85010400E ≈=??= 有一 4段组成,其3段时,总次,然后流到第4段。如果 需要的时间都是t ?,问: (1) 当在流水线的输入端连续地每t ?时间输入任务时,该流水线会发生 什么情况 (2) 此流水线的最大吞吐率为多少如果每t ?2输入一个任务,连续处理 10个任务时的实际吞吐率和效率是多少 (3) 当每段时间不变时,如何提高该流水线的吞吐率仍连续处理10个任 务时,其吞吐率提高多少 (2)

计算机系统结构模拟试题(3)

计算机系统结构模拟试题(3) 一、单选题:15分,每题03分 1、一台模型机共有7条指令,各指令的使用的频率分别为45%,30%,15%,5%,3%,1%,1%。要求操作码的平均长度最短,那么该值应该是: A: 2 B: 1.87 C: 2.1 D: 1.97 2、设a为一个计算机系统中n台处理机可以同时执行的程序代码的百分比,其余代码必须用单处理机顺序执行。而单个节点机的处理效率是4MIPS,那么在a=0.8的条件下,要让系统的效率达到20MIPS,则至少需要多少台节点机? A: 8 B: 16 C: 32 D: 以上均错误 3、一条流水线的可分为k段,各段的执行时间都是,共向该流水线输入n个任务,求该流水线实际吞吐率为: A: B: C: D: 4、一条4段的流水线可以由以下时空图来表示,求该流水线的实际吞吐率(假设n=100) A: B: C: D: 5、在超标量,超流水线,超标量超流水线等不同结构的指令级并行处理机上运行矩阵乘法C=A×B(假设A是m×n阶的矩阵,B是n×l阶的矩阵),最少需要进行多少次乘法

和多少次加法? A: mn, nl B: mnl, ml(n-1) C: mnl, mn(l-1) D: mnl, mnl 二、多选题:04分,每题04分 6、下列功能,那些一般由硬件实现? A : 第一次关CPU中断 B : 返回中断点 C : 第一次开CPU中断 D : 保存中断点 三、填空选择题:04分,每题04分 7、星形网络的网络直径和链路数分别为()和()。 选项 1 : N-1 N/2 2 N(N-1)/2 选项 2 : N-1 N/2 2 N(N-1)/2 四、大型计算题:10分,每题10分 8、假设我们考虑条件分支指令的两种不同设计方法如下: CPU1:通过比较指令设置条件码,然后测试条件码进行分支。 CPU2:在分支指令中包括比较过程。 在两种CPU中,条件分支指令都占用2个时钟周期而所有其他指令占用1个时钟周期,对于CPU1,执行的指令中分支指令占20%;由于每个分支指令之前都需要有比较指令,因此比较指令也占20%。由于CPU1在分支时不需要比较,因此假设它的时钟周期时间比CPU2快1.25倍。哪一个CPU更快?如果CPU1的时钟周期时间仅仅比CPU2快1.1倍,哪一个CPU更快? 五、中型计算题:16分,每题08分 9、在一个Cache存储系统中,主存储器的访问周期、存储容量和单位价格分别为60ns、64MB和10元/NB,Cache的访问周期、存储容量和单位价格分别为10ns、512KB和100元/MB,Cache的命中率为0.98。 计算这个Cache存储系统的等效访问周期、存储容量和单位价格。 10、超标量机、超流水线机、超标量超流水线机都能开发指令级的并行性。假设这3种机器的流水线都为4段,每段均为1个时钟周期。还假设超标量处理机每个时钟周期可同时启动3条指令,超流水线机每隔1/3个时钟周期启动一条指令,超标量超流水线机每次启动3条指令,每隔1/3个时钟周期启动一次指令。现有9条指令的代码序列,问这3种机器各需要多少个时钟周期才能执行完毕,求各流水线的效率。画出时空图。

相关文档
最新文档