数字电子技术题库精心整理值得参考

数字电子技术题库精心整理值得参考
数字电子技术题库精心整理值得参考

一、 选择题

1. 求逻辑函数Y AB B AB =++的最简与或式( )

()()()()1

A A

B AB B A B

C A B B

D +++++

2. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C . F (A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 3. 求逻辑函数C

B A AB

C C B A ABC Y ++++=的最简与或式 A. C B A ABC Y ++= B. C B A Y += C. C B Y +=1 D. 1 4. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式

A. B AB Y +=

B. 1=Y

C. B A Y +=

D. AC AB ABC Y ++= 5. 求逻辑函数01234689101114(,,,)(,,,,,,,,,,)Y A B C D m m m m m m m m m m m =∑最简与或式( )

()()()()A B CD AD B B CD ACD C B CD AD

D B CD AD

++++++++

6. 函数Y ABC AB =+的最简与或式( )

()()()0

()1

A A

B AB A

C BC B AB AB AC BC C

D ++++++

7. 逻辑函数()Y ABC AB AB BC =+++,最少需要几个与非门可以实现此逻辑( )

(A) 2 (B) 3 (C) 4 (D) 5

8. 逻辑函数()Y CD A B ABC ACD =⊕++约束条件0AB CD +=的最简与或式( )

()()()()A B AD AC B B AD AC C B AD AC

D B AD AC

++++++++

9. 逻辑函数(,,)Y A B C ABC AC BC =++的标准与或式为( )

()(1,3,5,7)()(0,3,5,7)()(1,2,5,7)

()(1,3,5,6)

A m

B m

C m

D m ∑∑∑∑

10. 图中门电路为74系列TTL 门。要求当V I =V IH 时,发光二极管D 导通并发光,

且发光二极管导通电流约为10mA ,下列说法正确的是( )

&

V I

V CC

D

&

V I

D R

(A)

(B)

R

(A)两个电路都不能正常工作 (B)两个电路都能正常工作 (C)电路(A )可以正常工作 (D)电路(B )可以正常工作

11. 74HC 00为CMOS 与非门采用+5V 电源供电,输入端在下面哪种接法下属于逻辑0( ) A .输入端接地 B. 输入端接高于3.6V 电源 C. 输入端悬空 D. 输入端接同类与非门的输出高电平3.6V

12. 下列说法正确的是( )

(A)组合逻辑电路的输出不仅和该时刻的输入有关,还与电路原来的状态有关 (B)常用的组合逻辑电路有编码器、译码器、加法器、比较器、寄存器 (C)组合逻辑电路可能发生竞争冒险

(D)组合逻辑电路需要用状态方程来描述其逻辑功能

13. 用8选1数据选择器实现函数Y =ACD +ABCD +BC +BCD ,A2、A1、A0分别接A 、B 、C ,下列选项正确的是( ) 4分 (A) D0= D5=1 D1= D4=D D2= D D3= D6=D7=0 (B) D0= D5=0 D1= D4=D D2= D D3= D6=D7=1 (C) D0= D5=0 D1= D4=D D2= D D3= D6=D7=1 (D) D0= D5=0 D1= D4=D D2= D D3= D6=D7=0

14. 8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出

012Y Y Y ??的值是( )。

A .111 B. 010 C. 000 D. 101

15. 十六路数据选择器的地址输入(选择控制)端有( )个。

A .16 B.2 C.4 D.8

16. 已知74LS138译码器的输入三个使能端(E 3=1, E 1=E 2=0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111 17. 设计一个全加器,选择哪个方案可以实现( ) (A)编码器和必要的门电路 (B)数值比较器和必要的门电路

(C)二进制译码器和必要的门电路 (D)7段显示译码器和必要的门电路

18. 函数F=AB+BC ,使F=1的输入ABC 组合为( ) A .ABC=000 B .ABC=010 C .ABC=101 D .ABC=110 19. 已知某电路的真值表如下,该电路的逻辑表达式为( )。

A .C Y = B. A

B

C Y = C .C AB Y +=

D .C C B Y +=

A B C Y A B C Y 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 1 0 1 0 0 1 1 0 1 0

1

1

1

1

1

1

1

20. 下列选项中是8421BCD 码的是( )

A. 0101

B. 1010

C. 1100

D. 1111 21. 逻辑函数B A A C B A ++++的最简与或式是( ) A. 1 B. A C. A D. A+B+C

22. 欲对全班40个学生以二进制代码表示,至少需要二进制码的位数是( )

A. 6

B. 5

C. 10

D. 53 23. 以下式子中不正确的是( )

A .

B A B A +=+ B . A A A +=

C . 1A A ?=

D . 11A += 24. 在数字电路中,稳态时三极管一般工作在( )状态。在图示电路中,若0i u <,则三极管T ( ),此时uo =( )

A .开关,截止,3.7V

B .放大,截止,5V

C .开关,饱和,0.3V

D .开关,截止,5V

25. 数字电路中的工作信号为( )。 A . 脉冲信号 B . 随时间连续变化的电信号 C .直流信号 D .模拟信号 26. 下列等式不成立的是( )

A. AB+AC+BC=AB+BC

B. (A+B)(A+C)=A+BC

C. A+AB=A

D. 1=+++B A AB B A B A 27. 最小项D C AB 逻辑相邻项是( )

A.ABCD

B.D BC A

C.D C B A

D.CD B A

28. 下列逻辑门类型中,可以用( )一种类型门实现另三种基本运算。 A .与非门 B .非门 C .或门 D .与门 29. n 个变量的最小项是 。

A .n 个变量的积项,它包含全部n 个变量,每个变量可用原变量或非变量。

B .n 个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量。

C .n 个变量的积项,它包含全部n 个变量,每个变量仅为原变量。

D .n 个变量的和项,它包含全部n 个变量,每个变量仅为非变量。 30. 三态门输出高阻状态时,是正确的说法。

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动 31. 对于TTL 与非门闲置输入端的处理,可以。

A.接电源

B.通过电阻3kΩ接电源

C.接地

D.与有用输入端并联 32. CMOS 数字集成电路与TTL 数字集成电路相比突出的优点是。 A.微功耗B.高速度C.高抗干扰能力D.电源范围宽

33. 如果要将一组并行的输入数据转换为串行输出,则应采用哪种电路( ) A. 计数器 B. 编码器 C. 数据选择器 D. 数据分配器

34. 三位二进制编码器输出与输入端的数量分别为( ) A.3个、2个 B.3个、8个 C.8个、3个 D.2个、3个

35. 七段显示译码器,当译码器七个输出端状态是abcdefg=0110011,高电平有效,输入一定为( )

A.0011

B.0110

C.0100

D.0101

36. 译码器驱动输出为低电平,则显示器应该选用( ) A.共阴极显示器 B.共阳极显示器 C.两者均可 D.不能确定 37. 半加器的逻辑功能是( )

A 、两个同位的二进制数相加

B 、两个二进制数相加

C 、两个同位的二进制数及来自低位的进位三者相加

D 、两个二进制数的和的一半

38. 全加器的逻辑功能是( )

A 、两个同位的二进制数相加

B 、两个二进制数相加

C 、两个同位的二进制数及来自低位的进位三者相加

D 、不带进位的两个二进制数相加

39. 对于两个4位二进制数A (A3A2A1A0)、B (B3B2B1B0),下面说法正确的是( )

A 、如果A3>B3,则A >

B B 、如果A3<B3,则A >B

C 、如果A0>B0,则A >B

D 、如果A0<B0,则A >B

40. 实现多输入、单输出逻辑函数,应选( )

A 、编码器

B 、译码器

C 、数据选择器

D 、数据分配器

41. 对于触发器和组合逻辑电路,以下( )的说法是正确的。 A 、两者都有记忆能力 B 、两者都无记忆能力

C 、只有组合逻辑电路有记忆能力

D 、只有触发器有记忆能力 42. CP 有效期间,同步RS 触发器的特性方程是( )。

A 、n n Q R S Q +=+1

B 、n n Q R S Q +=+1(RS=0)

C 、n n RQ S Q +=+1

D 、

n n RQ S Q +=+1(RS=0)

43. CP 有效期间,同步D 触发器特性方程是( )。

A 、D Q n =+1

B 、n n DQ Q =+1

C 、n n Q

D Q ⊕=+1 D 、n n Q D Q ⊕=+1 44. 对于JK 触发器,输入J=0、K=1,CP 脉冲作用后,触发器的1+n Q 应为( )。 A 、0 B 、1 C 、可能是0,也可能是1 D 、与n Q 有关、

45. JK 触发器在CP 脉冲作用下,若使n n Q Q =+1,则输入信号应为( )。 A 、1==K J B 、Q K Q J ==, C 、Q K Q J ==, D 、0==K J 46. 具有“置0” “置1” “保持” “翻转”功能的触发器叫(A )。 A 、JK 触发器 B 、基本RS 触发器 C 、同步D 触发器 D 、同步RS 触发器

47. 仅具有“保持”“翻转”功能的触发器叫( )。

A 、JK 触发器

B 、RS 触发器

C 、

D 触发器 D 、T 触发器 48. 仅具有“翻转”功能的触发器叫( )。

A 、JK 触发器

B 、RS 触发器

C 、

D 触发器 D 、T ’触发器 49. 时序逻辑电路中一定包含( )

A 、触发器

B 、编码器

C 、移位寄存器

D 、译码器 50. 时序电路某一时刻的输出状态,与该时刻之前的输入信号( ) A 、有关 B 、无关 C 、有时有关,有时无关 D 、以上都不对 51. 用n 个触发器构成计数器,可得到的最大计数长度为( ) A 、n B 、n 2 C 、2n D 、n 2

52. 同步时序逻辑电路和异步时序逻辑电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关

53. 一位8421BCD 计数器,至少需要( )个触发器。 A 、3 B 、4 C 、5 D 、10

54. 经过有限个CP ,可由任意一个无效状态进入有效状态的计数器是( )自启动的计数器。

A 、能

B 、不能

C 、不一定能

D 、以上都不对 55. 寄存器在电路组成上的特点是( )

A 、有CP 输入端,无数码输入端。

B 、有CP 输入端和数码输入端。

C 、无CP 输入端,有数码输入端。

D 、无CP 输入端和数码输入端。 56. 通常寄存器应具有( )功能。

A 、存数和取数

B 、清零和置数

C 、A 和B 都有

D 、只有存数、取数和清零,没有置数。

57. 表示脉冲电压变化最大值的参数叫( )。

A 、脉冲幅度

B 、脉冲宽度

C 、脉冲前沿

D 、脉冲后沿 58. 表示两个相邻脉冲重复出现的时间间隔的参数叫( )。 A 、脉冲周期 B 、脉冲宽度 C 、脉冲前沿 D 、脉冲后沿 59. 集成555定时器的输出状态有( )

A 、0状态

B 、1状态

C 、0和1状态

D 、高阻态 60. 多谐振荡器能产生( )

A 、正弦波

B 、矩形波

C 、三角波

D 、锯齿波

61. 用555定时器构成的施密特触发器的回差电压T U ?可表示为( )

A 、DD V 21

B 、DD V 31

C 、D

D V 32

D 、DD V

62. 施密特触发器常用于对脉冲波形的( )。

A 、计数

B 、寄存

C 、延时与定时

D 、整形与变换

63. 图1为由或非门构成的基本SR 锁存器,输入S 、R 的约束条件是 。

A .SR =0

B .SR =1

C .S +R =0

D .S +R =1

Q

Q

G 22

Q

Q

R

S

图.1 图.2

64. 图2所示为由与非门组成的基本SR 锁存器,为使锁存器处于“置1”状态,其R S ?应为 。

A .R S ?=00

C .R S ?=10

D .R S ?=11 65. 电路如图所示。实现A Q Q n n +=+1的电路是 。

A A

A A

A .

B .

C . D

66. 电路如图所示。实现n n Q Q =+1的电路是 。

CP

CP

CP

A

A .

B .

C .

D . 67. 电路如图所示。输出端Q 所得波形的频率为CP 信号二分频的电路为 。

1A . B . C .

D .

68. 将D 触发器改造成T 触发器,如图所示电路中的虚线框内应是 。

T

Q

A .或非门

B .与非D .同或门 69. 米利型时序逻辑电路的输出是 。A .只与输入有关 B .只与电路当前状态有关

C .与输入和电路当前状态均有关

D .与输入和电路当前状态均无关

70. 摩尔型时序逻辑电路的输出是 。

A .只与输入有关

B .只与电路当前状态有关

C .与输入和电路当前状态均有关

D .与输入和电路当前状态均无关

二、 填空题

1. 模拟信号的特点是在 幅度 和 时间 上都是 连续 变化的。

2. 数字信号的特点是在 幅度和 时间 上都是 不连续 变化的。

3. 数字电路主要研究 输出 与 输入 信号之间的对应 逻辑 关系。

4. 用二进制数表示文字、符号等信息的过程称为 编码_。

5. ()11011(2= 2710),()1110110(2= 1668),()21(10= 101012)。

6. ()101010(2= 42 10),()74(8=1111002),()7(16=D 110101112)。

7. 最基本的三种逻辑运算是与 、或 、非 。

8. 逻辑等式三个规则分别是代入 、 对偶 、 反演 。

9. 逻辑函数化简的方法主要有 公式 化简法和卡诺图 化简法。 10. 逻辑函数常用的表示方法有真值表 、表达式 和 卡诺图 。

11. 任何一个逻辑函数的 真是表 是唯一的,但是它的 表达式 可有不同的形式,逻辑函数的各种表示方法在本质上是一致或相同 的,可以互换。 12. 写出下面逻辑图所表示的逻辑函数Y= (C B A Y )(+= 。

13. 写出下面逻辑图所表示的逻辑函数Y= ))((C A B A Y ++=) 。

14. 半导体三极管作为开关元件时工作在 饱和 状态和 截至 状态。 15. 与门电路和或门电路具有 多 个输入端和 1 个输出端。 16. 非门电路是 单 端输入、 单端输出的电路。

17. TTL 门电路具有负载能力强 、 抗干扰能力强 和 转换速度快 等优点。 18. OC 门是一种特殊的TTL 与非门,它的特点是输出端可以并联输出,即 。 19. 三态门除了高电平、低电平两个状态外,还有第三个状态,这第三个状态常称为高阻态。

20. 根据逻辑功能的不同特点,逻辑电路可分为两大类:组合逻辑电路 和 时序逻辑电路 。

21. 组合逻辑电路主要是由 与 、 或 和 非 三种基本逻辑门电路构成的。 22. 只考虑 加数和被加数 ,而不考虑 低位进位 的运算电路,称为半加器。 23. 不仅考虑 加数和被加数 ,而且考虑 低位进位的运算电路,称为全加器。 24.译码是编码的逆过程。

25. 数据选择器是在选择信号 的作用下,从 多个数据 中选择 某一数据或一个数据 作为输出的组合逻辑电路。

26. 从奇偶校验角度来说,数码1011011是 奇 码,1001011是 偶 码。 27. 触发器具有2个稳定状态,在输入信号消失后,它能保持 稳定状态 。 28. 在基本RS 触发器暗中,输入端D R 或D R 能使触发器处于复位状态,输入端

D S 或D S 能使触发器处于置位 状态。

29. 同步RS 触发器状态的改变是与 CP 脉冲 信号同步的。

30. 在CP 有效期间,若同步触发器的输入信号发生多次变化时,其输出状态也会相应产生多次变化,这种现象称为 触发器的空翻 。 31. 同步D 触发器的特性方程为 D Q n =+1) 。

32. 主从触发器是一种能防止 空翻 现象的触发器。

33. 在CP 脉冲和输入信号作用下,JK 触发器能够具有保持 、 置0 、 置1 、和 翻转 的逻辑功能。

34. 在CP 脉冲有效期间,D 触发器的次态方程1+n Q = D ,JK 触发器的次态方程1+n Q = n n Q K Q J +) 。

35. 对于JK 触发器,当CP 脉冲有效期间,若J=K=0时,触发器状态保持 ;若

K J =时,触发器 置0或置1;若J=K=1时,触发器状态 翻转 。

36. 对于JK 触发器,若J=K ,则可完成 触发器的逻辑功能。 37. 对于JK 触发器,若K J =,则可完成 触发器的逻辑功能。 38. 将D 触发器的D 端与Q 端直接相连时,D 触发器可转换成 T 触发器。 39. 时序逻辑电路任何时刻的输出信号不仅取决于 当时的输入信号 ,而且还取决于 电路原来的状态 。

40. 时序逻辑电路逻辑功能的表示方法有 方程 、 状态转换真值表 、 状态转换图 、和 时序图 四种。

41.用来记忆和统计输入CP 脉冲个数的电路,称为计数器 。 42. 用以存放二进制代码的电路称为 寄存器 。

43. 具有存放数码和使数码逐位右移或左移的电路称为 移存器 。 44. 产生 顺序脉冲信号 的电路称为顺序脉冲发生器。 45. 脉冲周期T 表示两个相邻脉冲的 时间间隔 。

46. 集成555定时器的TH 端,TR 端的电平分别大于DD V 32和DD V 3

1

,定时器的输

出状态是 低电平或0 。

47. 集成555定时器的TH 端,TR 端的电平分别小于DD V 32和DD V 3

1

,定时器的输

出状态是高电平或1 。

48. 多谐振荡电路没有 稳定状态,电路不停地在两个状态 之间转换,因此又

称 无稳态触发器 。

49. 在触发脉冲作用下,单稳态触发器从稳态 转换到 暂稳态 后,依靠自身电容的放电作用,又能回到 稳态 。

50. 用555定时器构成的施密特触发器的回差电压可表示为

-+-=?TH TH T U U U ) 。

51. 用555定时器构成的施密特触发器的电源电压为15V 时,其回差电压T U ?为 5 V 。

三、 判断题

1. 十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。

2. 二进制只可以用来表示数字,不可以用来表示文字和符号等。

3. 十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。

4. 若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。

5. 证明两个函数是否相等,只要比较它们的真值表是否相同即可。

6. 在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。

7. 当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。

8. 在全部输入是“0”的情况下,函数B A Y +=运算的结果是逻辑“0”。 9. 逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。

10. 在变量A 、B 取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。 11. 逻辑函数的卡诺图中,相邻最小项可以合并。

12. 对任意一个最小项,只有一组变量取值使得它的值为1. 13. 任意的两个最小项之积恒为0。

14. 半导体二极管、三极管、MOS 管在数字电路中均可以作为开关元件来使用。 15. 与门、或门和非门都具有多个输入端和一个输出端。 16. 在与门电路后面加上非门,就构成了与非门电路。 17. CMOS 门电路的输入端在使用中不允许悬空。 18. 任何时刻,电路的输出状态只取决于该时刻的输入,而与该时刻之前的电路状态无关的逻辑电路,称为组合逻辑电路。

19. 组合逻辑电路的逻辑功能可用逻辑图、真值表、逻辑表达式、卡诺图和波形图五种方法来描述,它们在本质上是相通的,可以互相转换。 20. A A +型竞争冒险也称为1型竞争冒险。 21. A A ?型竞争冒险也称为0型竞争冒险。

22. 3位二进制译码器应有3个输入端和8个输出端。 23. 3线—8线译码电路是三—八进制译码器。

24. 十六路数据选择器的地址输入端有四个。 25. 能将一个数据,根据需要传送到多个输出端的任何一个输出端的电路,称为数据选择器。

26. 触发器有两个稳定状态,一个是现态,一个是次态。 27. 触发器有两个稳定状态,在外界输入信号的作用下,可以从一个稳定状态转变为另一个稳定状态。

28. 同一逻辑功能的触发器,其电路结构一定相同。 29. 仅具有反正功能的触发器是T 触发器。

30. 时序逻辑电路的特点是在任何时刻的输出不仅和输入有关,而且还取决于电路原来的状态。

31. 时序逻辑电路由存储电路和触发器两部分组成。 32. 为了记忆电路的状态,时序电路必须包含存储电路,存储电路通常以触发器为基本单元电路组成。

33. 计数器能够记忆输入CP 脉冲的最大数目,叫做这个计数器的长度,也称为计数器的“模”。

34. 同步时序电路和异步时序电路的最主要区别是,前者没有CP 脉冲,后者有CP 脉冲。

35. 同步时序电路和异步时序电路的最主要区别是,前者的所有触发器受同一时钟脉冲控制,后者的各触发器受不同的时钟脉冲控制。

36. 时序电路的逻辑功能可用逻辑图、逻辑表达式、状态表、卡诺图、状态图和时序图等方法来描述,它们在本质上是相通的,可以互相转换。 37. 当时序逻辑电路进入无效状态后,若能自动返回有效工作状态,该电路能自启动。

38. 单稳态触发器只有一个稳定状态。 39. 多谐振荡器有两个稳定状态。

40. 暂稳态持续的时间是脉冲电路的主要参数,它与电路的阻容元件有关。 41. 多谐振荡器是一种自激振荡电路,不需要外加输入信号,就可以自动地产生矩形脉冲。

42. 单稳态触发器和施密特触发器不能自动地产生矩形脉冲,但可以把其他形状的信号变换成矩形波。

四、 公式化简题

1. B A B A B A AB L +++=

2. ()()()()B A B A B A B A L ++++=

3. AB AC ABC B L +++=

4. C B ABCBD D BC ABD D ABC L ++++=

5. ()C AB C B BC A AC L +++=

6. ABCD D B A D A B A L +++=

7. D C B D BC ABCD C B A D A D B A L +++++= 8. AC E ABCD BCDE E D C ABD L ++++= 9. ()D C B A D C B D B A ABD B A L +++++++= 10. AB BC A C AB L ?++= 11. ()()AB C A B A A L ?++?= 12. ()

()B A C B AD CD A B A L +?++= 13. B A C A ABC B L +++= 14. F BCD BC ACD ABC =+++

五、 卡诺图化简题

1. )15,14,11,10,9,8,7,6,5,2,0(),,,(∑=m D C B A Y

2. ∑=m D C B A F )15,14,13,11,10,9,8,7,6,2(),,,(

3. ()()∑131********,,,,,,,=,,,m D C B A F

4. F(A,B,C,D)=∑m(0,4,5,6,8,9,10,13,15)

5. (,,,)(1,3,4,5,7,10,12,14)m F A B C D =∑

6. ∑=)13,12,9,8,7,6,5,3,2,1(D)C,B ,F(A,m

7. ∑=m D C B A F 13.14.15).10.11.12.(0.1.2.5.8)...(

8. B A C A C B C B C A B A Y +++++=1

9. C B A D A B A D C AB CD B A Y ++++=1 10. ()()∑=m D C B A F 12,10,8,4,2,0,,, 11. ()()∑=m D C B A F 14,13,10,9,8,6,5,2,1,0,,, 12. ()()∑=m C B A F 6,5,4,2,0,,

13. ()()∑=m D C B A F 14,11,10,9,8,6,4,3,2,1,0,,,

14. ()()()∑∑+=d m D C B A F 15,11,7,5,3,113,9,6,4,2,0,,, 15. ()()()∑∑+=d m D C B A F 11,10,9,3,2,115,14,13,0,,, 16. ()()()∑∑+=d m D C B A F 15,14,13,12,,11,109,8,5,3,1,0,,, 17. ()()()∑∑+=d m D C B A F 15,14,13,2,1,011,10,9,8,7,5,4,3,,, 18. ()()()∑∑+=d m D C B A F 15,11,7,5,3,213,9,6,4,1,0,,,

19. ()()()∑∑,,,

+,,,,,=,,,15125214137431d m D C B A F 20. F(A,B,C,D)=∑m(4,5,6,13,14,15)+ ∑d(8,9,10,12) 21. ∑∑+=)15,14,13,12,11,10()9,8,6,5,4,1,0(D)C,B ,(A,L 2d m

22. Y(A,B,C,D)=∑m (1,9,12,14) + ∑d (3,4,5,6,7,11,13,15)

23. Y(A,B,C,D )=∑m (2,4,6,7,12,15)+∑d (0,1,3,8,9,11) 六、 分析题

1. 组合电路如图所示,分析该电路的逻辑功能。写出逻辑表达式并化简,画出真值表。

2. 分析如图所示的组合逻辑电路的功能。写出逻辑表达式并化简,画出真值表。

3. 试分析如图所示的组合电路,要求写出输出逻辑表达式,并判断电路在哪些输入信号状态突变时可能输出险象,为使电路工作可靠,用增加冗余项的方法消除险象,并画出修正后的逻辑电路。

4. 试分析图示组合逻辑电路,列出真值表,写出输出端的逻辑函数表达式,并化简,说明电路的功能。

5. 下图是一个组合逻辑电路,试对其进行分析,要求:写出输出X、Y的表达式并化简,列真值表,简述逻辑功能。

A B

C =1

=1

&

&

&

&

X

Y

&

&

6.

7.

8.

9. 分析图示电路的逻辑功能,写出驱动方程、状态方程,列出功能表,画出状态转换图。

10. 分析图示组合逻辑电路的功能,要求写出与-或逻辑表达式,列出其真值表,并说明电路的逻辑功能。

≥1

&

≥11

≥1

& & &&

A

B

C S

CO

11. 已知逻辑电路如图所示,试分析其逻辑功能。要求写出与-或逻辑表达式,列出其真值表,并说明电路的逻辑功能。

A B C

12. 电路如图所示,图中①~⑤均为2线—4线译码器。

(1)欲分别使译码器①~④处于工作状态,对应的C 、D 应输入何种状态(填表P3.12-1);

(2)试分析当译码器①工作时,请对应A 、B 的状态写出1310Y ~Y 的状态(填表P3.12-2);

(3)说明图P3.14的逻辑功能。

表P3.14-1 表P3.14-2

13Y 12Y 11Y 10Y 23Y 22Y 21Y 20Y 33Y 32Y 31Y 30Y 43

Y 42Y 41Y 40Y B

A

C

D

13. 写出如图所示电路的逻辑函数,并化简为最简与-或表达式。

100B A

C L

14.已知用8选1数据选择器74LS151构成的逻辑电路如图所示,请写出输出L 的逻辑函数表达式,并将它化成最简与-或表达式。

A

B C

15. 下图所示是用二个4选1数据选择器组成的逻辑电路,试写出输出Z 与输入M 、N 、P 、Q 之间的逻辑函数式。

Q P 0N

16. 分析图示电路,要求:

(1)写出JK 触发器的状态方程;

(2)用X 、Y 、Q n 作变量,写出P 和Q n+1的函数表达式; (3)列出真值表,说明电路完成何种逻辑功能。

X

P

Y

CP

17. 试分析如图同步时序逻辑电路,并写出分析过程。

CP

18. 同步时序电路如图所示。

(1)试分析图中虚线框电路,画出Q0、Q1、Q2波形,并说明虚线框内电路的逻辑功能。

(2)若把电路中的Y输出和置零端

R连接在一起,试说明当X0X1X2为110时,

D

整个电路的逻辑功能。

19. 如图所示为由计数器和数据选择器构成的序列信号发生器,74161为四位二进制计数器,74LS151为8选1数据选择器。请问:74161接成了几进制的计数器?

11CP

20. 试分析如图所示电路的逻辑功能。图中74LS160为十进制同步加法计数器,其功能如表所示。

CP

1

C

21. 试分析如图所示时序电路的逻辑功能。

FF 1

Y

22. 试分析如图所示时序电路的逻辑功能。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术期末复习题库及答案

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 1

8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。(对) 3、8421BCD码、2421BCD码和余3码都属于有权码。(错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。(对) 3、每个最小项都是各变量相“与”构成的,即n个变量的最小项含有n个因子。(对) 2

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

相关文档
最新文档