频率特性测试仪

频率特性测试仪
频率特性测试仪

频率特性测试仪

摘要:本系统以FPGA及DDS发生芯片AD9851为核心,通过对AD9851模块的控制产生系统所需的100—100KHZ的正弦扫频信号,通过AD637检测信号的有效值,并利用MSP430中自带的A/D芯片对有效值进行采集,从而获得波形幅频特性数据;通过将输入输出信号进行过零比较整形为方波后,进行相与,计数等操作得到相位差的占空比从而得到相频特性数据;使用双口RAM存储幅频和相频特性数据,最后通过LCD将所得的数据绘制成稳定的波形图。

关键字:DDS、幅频特性、相频特性

一、系统方案 (1)

1、方案论证与选择 (1)

1.1扫频信号发生 (1)

1.2幅频特性测量 (1)

1.3相频特性测量 (1)

1.4被测网络 (2)

2、系统总体方案设计 (2)

二、系统主要功能电路计算、分析及设计 (3)

1.双T被测网络 (3)

2.有效值检测 (3)

3.过零比较 (4)

4.其它 (5)

三、系统软件总图 (5)

四、系统测试 (6)

五、结论 (6)

六、参考文献 (6)

一、系统方案

1、方案论证与选择

1.1扫频信号发生

方案一:采用锁相环(PLL)频率合成信号源,PLL频率合成器的输出频率可以按需要步进地变化,锁定后,其输出频率可以达到与参考频率同量级的频率精度和稳定度。但其电路连接较为复杂,频率调整麻烦。

方案二:使用FPGA编程,运用DDS原理产生系统所需波形信号源。此法成本低廉,但其性能受晶振频率精度限制较大,外部对应处理电路较为复杂。

方案三:使用集成DDS芯片AD9851模块实现扫频波形发生,操作简单,频率精度与范围都可达到较高,波形稳定度高。

综上,我们采用方案三。

1.2幅频特性测量

在此系统中,幅频特性测量的重点在于波形幅度的测量上,而幅度测量的有以下几种方案:

方案一:二极管峰值检测法。通过对输入信号的峰值进行提取,将电路输出值一直保持,直到一个新的更大的峰值出现或电路复位。此方案实现简单,但是最大的缺陷是由于受检波管影响,对小幅度峰值检测误差较大。在具体实现中可以通过电压补偿,将信号幅度进行调整到适当范围等方法以减少一定误差,而且电路成本较低。

方案二:使用高速A/D采样,通过采样值获得峰值。此方案精度很高,但是由于需要较高的采样频率,高频干扰无法彻底消除,给峰值获取带来很大难度,制作调试相对复杂。

方案三:使用精度和速度都比较高的有效值检测器件(如AD637),通过有效值来计算幅度响应。此方案外围电路简单易于调试,精度也较高。

综上考虑,我们采用了方案三。

1.3相频特性测量

方案一:使用鉴相器,将相位差转换为电压值测量。由于受到鉴相器的性能限制,此方案精度不太高。

方案二:相位差可以通过占空比进行测试,但正弦波占空比不易测量,因此需先将正弦波通过过零比较整形为方波。再由如下图所示原理将通过被测网络前的

方波信号f1和通过被测网络后的信号f2相与,从而得到两者的相位差A,再将A和高频脉冲B相与得到C,对C进行多周期计数来求得每个周期中高电平占空比,即可得到相差。

图1-1

综上考虑,方案二的测量精度高,我们采用了方案二。

1.4被测网络

方案一:采用无源阻容双T网络。通过理论设计与实际验证发现带宽无法满足系统设计所需。

方案二:采用有源阻容双T网络。在中心频率和带宽上都很好的满足了系统所需,为此,我们采用了此方案。

2、系统总体方案设计

根据以上的方案分析,我们的总体方案如下图所示:

图1-2

其中核心的FPGA主要通过对波形逻辑运算及计数来实现对相位的精准测量,单片机MSP430利用内部A/D转换芯片对所测得的有效值进行采集计算。计算所得的数据经校准后在键盘控制下通过LCD液晶显示器分别显示幅频特性、相频特性等。

二、系统主要功能电路计算、分析及设计

1.双T 被测网络

我们采用了有源双T 网络,在此网络中中心频率为fo=1/2πRC ,电路Q 值为

Q=1/4(1-K),频率特性方程为H(w)=( 20w -2w )/[2

0w +j40w w(1-K)-2w ],通过改

变两个电阻的阻值可以实现对K 和Q 的值的改变。由于系统要求的中心频率为fo=5KHz ,带宽为50HZ ±。将此要求带入上面公式即可得到个参数。 双T 网络的电路图及对应幅频特性图、相频特性图如下所示:

图2-1

图2-2幅频特性 图2-3相频特性

2.有效值检测

该模块采用的是AD637。AD637是一块高精度单片TRMS /DC 转换器,可以计算各种复杂波形的真有效值。采用了峰值系数补偿,在测量峰值系数高达10的信号时附加误差仅为1% 。频带宽度在2V 输入时可达8MHz 。由于AD637芯片可以对输入的正弦信号峰值直接计算并输出其有效值,因此可以采用该芯片实现信号的峰值检测。其电路实现如下图所示:

图2-4

3.过零比较

模块采用LM356和LM311芯片来实现波形由正弦波到方波的转换。在该实验中,需要用到两个该模块,第一个是用于直接将测试信号转化为方波信号,第二个是将通过双T 网络后的正弦信号转化为方波信号,此时的信号由于经过了双T网络,因此相对原信号已经产生了相位的移动,将此两路方波信号相与可以得到一占空比较小的方波信号,将此方波信号与基准方波进行与操作,得到一系列的高频窄脉冲序列。在FPGA中同时对该脉冲序列以及基准源脉冲序列进行计数,得到同一时间内的计数个数经过计算即可得到相位差,然后将此相位特性数据送到单片机。其中,LM311的2、3脚处的100pF电容可以有效地去除振荡。电路如下图所示:

图2-5被测网络前

图2-6被测网络后

4.其它

由于AD9851输出的波形存在直流偏置且波形不够纯净。为此我们在AD9851波形发生模块后加入一个滤波缓冲小模块,再将滤波后的波形送入后面的处理模块,已达到波形纯净的目的。同时,在两路过零比较前分别加上一个截止频率在500HZ以下的高通滤波,起到滤波与隔直流的作用,其中电容为多个数量级电容并联效果更佳。

图2-7

三、系统软件总图

四、系统测试

通过如下的测试结果,我们可以清晰的看到被测网络的幅频特性与相频特性大

致走向,进一步验证了我们系统的正确性。

频率(HZ) 4.0k 4.1k 4.2k 4.3k 4.4k 4.5k 4.6k 4.7k 4.8k 4.9k 幅度(V) 2.04 2.03 2 1.94 1.91 1.79 1.66 1.45 1.84 0.73 相位差(度)-20 -22 -25 -29 -33 -38 -45 -53 -64 -76 频率f(HZ) 5.0k 5.1k 5.2k 5.3k 5.4k 5.5k 5.6k 5.7k 5.8k 5.9k 幅度(V)0.25 0.04 0.62 0.95 1.22 1.31 1.51 1.6 1.68 1.73 相位差(度)-91 90 66 56 48 42 37 33 30 27

五、结论

本系统在设计与完成过程中对各种问题的解决都做的不错,其中对几个问题的

解决过程对我们以后的设计有很大启示:

1.电源中杂波的引入会导致系统的振荡与所需信号的不稳定,电源波纹的抑

制显得尤为重要,可以通过对电源滤波达到较好的解决。

2.AD637采集转换的速率比较慢,对系统的其他部件有一定的影响,需考虑。

这点使我们认识到要从全局来规划整个系统中的每个部件。

3.相频测量处理过程中编写的FPGA计数器功能不正常,通过分析发现是

FPGA内部布线时序混乱所造成。今后在FPGA实现功能块时需注意它的这

一特点,可以通过适当的添加非门来延时调整时序。

六、参考文献

【1】全国大学生电子设计竞赛TI优秀作品精选集,2009/12/8

【2】谢自美.电子线路设计.实验.测试.武汉:华中科技大学出版社,2006

【3】康华光.电子技术基础(模拟部分).北京:高等教育出版社,2006

【4】黄根春等.电子设计教程.北京:电子工业出版社,2007

【5】夏宇闻.Verilog数字系统设计教程.北京:航空航天大学出版社,2008

电子频率计课程设计报告

物理与电子工程学院 课程设计 题目:简易频率计 专业 班级 学号 学生姓名 指导教师 数字频率计数器

电子工程师经常需要测量频率、时间间隔、相位和对事件计数,精确的测量离不开频率计数器或它的同类产品,如电子计数器和时间间隔分析仪。 频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 衡量频率计数器主要指标是测量范围、测量功能、精度和稳定性,这些也是决定价格高低的主要依据。 关键词:频率计;数码管;锁存器;计数器;定时器

1课程设计目的 (1) 2课程设计的指标 (1) 3课程设计报告内容 (1) 3.1设计方案的选定与说明 (1) 3.1.1方案的设计与论证 (2) 3.2论述方案各部分工作原理 (3) 3.2.1时基电路 (3) 3.2.2计数器 (5) 3.2.3锁存器 (6) 3.3设计方案的图表 (7) 3.3.1设计原理图 (7) 3.4编写设计说明书 (8) 3.4.1设计说明 (8) 3.4.2性能技术指标与分析 (9) 4仿真结果 (10) 5总结 (11) 参考文献 (12) 附录 (13) 附录A 元器件清单 (13) 附录B 设计电路 (13)

频率特性测试仪(精)

频率特性测试仪 摘要:本频率特性测量仪以 MSP430单片机为控制核心,由信号源、被测双 T 网络、检波电路、检相电路及显示等功能模块组成。其中,检波电路、检相电路由过零比较器、鉴相器、有效值检波器、 A/D、 D/A转换器等组成;被测网络采用带自举功能的有源双 T 网络;同时本设计还把 FPGA 作为 MCU 的一个高性能外设结合起来, 充分发挥了 FPGA 的高速信号处理能力和 MCU 的复杂数据分析能力;通过DDS 可手动预置扫频信号并能在全频范围和特定频率范围内为自动步进测量, 在数码管上实现频率和相位差的显示, 以及实现了用示波器观察幅频特性和相频特性。 关键词:单片机; DDS ;幅频特性;相频特性 一、方案比较与论证 1. 方案论证与选择 (1系统总体方案描述 该系统以单片机和 FPGA 为控制核心,用 DDS 技术产生频率扫描信号,采用真有效值检测器件 AD637测量信号幅度。在 FPGA 中,采用高频脉冲计数的方法测量相位差,经过单片机运算,可得到 100 Hz ~100 kHz 中任意频率的幅频特性和相频特性数据, 实现在该频段的自动扫描, 并在示波器上同时显示幅频和相频特性曲线。用键盘控制系统实现各种功能, 并且在 LCD 同步显示相应的功能和数据。系统总体设计框图如图 1所示。

图 1 系统总体框图 (2扫描信号源发生器 方案一:采用单片函数发生器。其频率可由外围电路控制。产生的信号频率 稳定度低,抗干扰能力差,灵活性差。 方案二:采用数字锁相环频率合成技术。但锁相环本身是一个惰性环节, 频率转换时间长, 整个测试仪的反应速度就会很慢 , 而且带宽不高。其原理图如图 2所示: 图 2 PPl原理图 方案三:采用数字直接频率合成技术 (DDFS。以单片机和 FPGA 为控制核心 , 通过相位累加器输出寻址波形存储器中的数据 , 以产生固定频率的正弦信号。该方案实现简单,频率稳定,抗干扰能力强。其原理图如图 3所示:

直接数字式频率合成器

实验八 直接数字式频率合成器(DDS )程序设计与仿真实验 1 实验目的 (1) 学习利用EDA 技术和FPGA 实现直接数字频率合成器的设计。 (2) 掌握使用Quartus Ⅱ原理图输入设计程序。 2 实验仪器 (1)GW48系列SOPC/EDA 实验开发系统 (2)配套计算机及Quartus II 软件 3 实验原理 直接数字频率合成技术,即DDS 技术,是一种新型的频率合成技术和信号产生方法。其电路系统具有较高的频率分辨率,可以实现快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制。 传统的生成正弦波的数字是利用—片ROM 和一片DAC ,再加上地址发生计数器和寄存器即可。在ROM 中,每个地址对应的单元中的内容(数据)都相应于正弦波的离散采样值,ROM 中必须包含完整的正弦波采样值,而且还要注意避免在按地址读取ROM 内容时可能引起的不连续点,避免量化噪音集中于基频的谐波上。时钟频率f clk 输入地址发生计数器和寄存器,地址计数器所选中的ROM 地址的内容被锁入寄存器,寄存器的输出经DAC 恢复成连续信号,即由各个台阶重构的正弦波,若相位精度n 比较大,则重构的正弦波经适当平滑后失真很小。当f clk 发生改变,则DAC 输出的正弦波频率就随之改变,但输出频率的改变仅决定于f clk 的改变。 为了控制输出频率更加方便,可以采用相位累加器,使输出频率正比于时钟频率和相位增量之积。图1所示为采用了相位累加方法的直接数字合成系统,把正弦波在相位上的精度定为n 位,于是分辨率相当于1/2n 。用时钟频率f P 依次读取数字相位圆周上各点,这里数字值作为地址,读出相应的ROM 中的值(正弦波的幅度),然后经DAC 重构正弦波。这里多了一个相位累加器,它的作用是在读取数字相位圆周上各点时可以每隔M 个点读一个数值,M 即力图1中的频率字。这样,DAC 输出的正弦波频率f sin 就等于“基频” f clk 1/2n 的M 倍,即DAC 输出的正弦波的频率满足下式: )2(sin n clk f M f (1) 这里,f clk 是DDS 系统的工作时钟,式(6-1-1)中的n 通常取值在24~32之间,由图1可知,

自适应频率计设计说明书

自适应数字频率计 设 计 说 明 书 负责人:张赟颍 队员:黄蜀宾、熊华竞

目录 1、项目介绍................................................................................................................................ - 1 - 2、制作流程图............................................................................................................................ - 1 - 2.1 项目制作流程如下:................................................................................................... - 1 - 2.2 项目时间进度安排如下:........................................................................................... - 1 - 3、系统功能分析........................................................................................................................ - 2 - 3.1 系统的功能模块框图................................................................................................... - 2 - 3.2 分频模块....................................................................................................................... - 3 - 4.选频模块: ......................................................................................................................... - 5 - 5. 控制模块......................................................................................................................... - 7 - 6 数码管显示.................................................................................................................... - 13 - 7、软件设计.............................................................................................................................. - 13 - 7.1 软件流程图................................................................................................................. - 13 - 8.软件代码介绍......................................................................................................................... - 14 - 9、附件...................................................................................................................................... - 19 - 9.1 系统的原理图............................................................................................................. - 19 - 系统PCB图...................................................................................................................... - 20 -

简易频率特性测试仪毕业设计论文

题目简易频率特性测试仪 电子工程系应用电子技术专业应电二班

简易频率特性测试仪 摘要:简易频率特性测试仪是以51单片机为控制核心的一种测量频率的仪器,具有 较宽的可测试带宽。电路由正交扫频信号源、被测网络、混频器、低通滤波器、ADC以及液晶显示部分组成。正交扫频信号源AD9854采用DDS技术产生高稳定的频率、相位、幅度可编程调制的正弦和余弦信号。被测网络是一个RLC串联谐振电路,其前后分别添加电压跟随器和电阻网络使其与相邻电路电阻匹配。混频器采用性能高,功耗低的SA602A,将信号源输出的正余弦信号与经过被测网络出来的处理信号进一步处理,产生高频与低频两种信号。低通滤波器采用max274芯片过滤较高频信号,外接元件少,参数调节方便,也具有良好的抗干扰性。ADC选用AD8317外置,提高AD转换性能。整体电路实现了测量较高频率信号的频率测量及幅频特性与相频特性的显示。 关键词:DDS技术、中频正交解调原理、RLC振荡电路。 Abstract:Simple frequency characteristic tester is a metrical instrument which is operated by 51 single chip computer, It has a wide bandwidth. The circuit is composed of orthogonal frequency sweep signal source, the measured network, mixer, low-pass filter, ADC and liquid crystal display part. Orthogonal frequency sweep signal source AD9854 using DDS technology to produce frequency, phase, amplitude and high stability of the programmable modulation sine and cosine signal. The measured network is a RLC series resonant circuit, a voltage follower and the resistor network to match the adjacent circuit resistance respectively before and after adding the. The mixer uses high performance, low power SA602A, the sine and cosine signal source output and the processed signal measured network for further processing, to produce high and low frequency signal two. Low pass filter using MAX274 chip filter high frequency signals, less external components, easy to adjust the parameters, and also has good anti-interference performance. ADC use AD8317 external, enhance AD conversion performance. The whole circuit of the display frequency measurement and the amplitude frequency characteristic measurement of high frequency signal and the phase frequency characteristic. Keywords:DDS technology、Quadrature demodulation, RLC oscillating circuit.

简易频率特性测试仪

简易频率特性测试仪(E题) 2013年全国电子设计大赛 摘要:本频率特性测试仪由AD9854为DDS频率合成器,MSP430为主控制器,根据零中频正交解调原理对被测网络针对频率特性进行扫描测量,将DDS 输出的正弦信号输入被测网络,将被测网络的出口信号分别与DDS输出的两路正交信号通过模拟乘法器进行乘法混频,通过低通滤波器取得含有幅频特性与相频特性的直流分量,由高精度A/D转换器传递给MSP430主控器,由MSP430对所测数据进行分析处理,最终测得目标网络的幅频特性与相频特性,同时通过LCD绘制相应的特性曲线,从而完成对目标网络的特性测试。本系统具有低功

耗,成本低廉,控制方便,人机交互友好,工作性能稳定等特点,不失为简易频率特性测试仪的一种优越方案。 关键字:DDS9854,MSP430,频率特性测试 目录 一、设计目标 (3) 1、基本要求: (4)

2、发挥部分: (4) 二、系统方案 (4) 方案一 (5) 方案三 (5) 方案二 (5) 三、控制方法及显示方案 (5) 四、系统总体框图 (6) 五、电路设计 (6) 1、DDS模块设计 (6) 2、DDS输出放大电路 (7) 3、RLC被测网络 (8) 4、乘法器电路 (8) 5、AD模数转换 (9) 六、软件方案 (10) 七、测试情况 (11) 1、测试仪器 (11) 2、DDS频率合成输出信号: (11) 3、RLC被测网络测试结果 (12) 4、频谱特性测试 (12) 八、总结 (12) 九、参考文献 (12) 十、附录 (13) 一、设计目标 根据零中频正交解调原理,设计并制作一个双端口网络频率特性测试仪,包括幅频特性和相频特性。

数字PPL频率合成器的原理与使用

龙源期刊网 https://www.360docs.net/doc/dc8106615.html, 数字PPL频率合成器的原理与使用 作者:伊力多斯·艾尔肯 来源:《中国科技博览》2013年第36期 中图分类号:TN742.1 文献标识码:A 文章编号:1009-914X(2013)36-0323-01 中波广播发射机载波频率振荡器能在531KHZ--1602KH频段内提供,1KHZ为间隔的1071个频率点。这些频点的载波振荡频率稳定度和精度都应满足系统的性能要求,并能迅速变换。显然常用的晶体振荡器无法满足上述要求,因为尽管晶体振荡器能提供高稳定的振荡频率,但其频率值单一,只能在很小的频率段内进行微调。频率合成技术则是能够实现上述要求的一种新技术,数字PLL频率合成器是目前应用最广泛的一种频率合成器,它与模拟PLL频率合成器的区别在于数字PLL中采用除法器(分频器),而不是用频率减法器来降低输入鉴相器频 率的。由于分频器可以很方便的用数字电路来实现,而且还具有可储存可变换的功能。因此它比一般的模拟PLL频率合成器更方便、更灵活。此外,数字电路易于集成和超小型化。 PLL即相位锁定环路,它是自动控制两振荡信号频率相等和相位同步的闭环系统,频率合成是指用可变分频器的方法将一个(或多个)基准频率信号转换为频率按比例降低或升高的另一个(或多个)所需频率信号的技术,采用PLL技术的频率合成器称为锁相环路频率合成 器,图(1)所示为数字PLL合成器的原理框图。它主要有鉴相器(PD),压控振荡器(VCO),基准晶体振荡器,基准分频器(1/R),前置分频器(1/K),可编程分频器也叫程控分频器(1/N),低通滤波器(LPF)等组成。可编程分频器的分频系数N由二进制码Po---Pn制定(如图1)。 其中鉴相器(PD)是完成压控振荡器(VCO)的输出信号U0(t),经前置分频和程控分频的信号Uf(T)与输入信号Ui(t)的相位比较,得到误差相位Φe(t)=Φf(t)-Φi(t),产生一个输出电压Ud(t),这个电压的大小直接反映两个信号相位差的大小,电压的极性反应输入信号Ui(t)超前或滞后于Uf(t)的相对相位关系。由此可见,PD在环路中是用来完成相位差电压转换作用,其输出误差电压是瞬间相位的函数。低通滤波器(LPF)滤除Ud (t)中的高频分量与噪声成分,得到控制信号Uc(t),压控振荡器(VCO)受Uc(t)控

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计的设计说明书

数显频率计设计任务书 ⑴硬件设计:根据任务要求,完成单片机最小系统及其扩展设计。 ⑵软件设计:根据硬件设计完成显示功能要求,完成控制软件的编写与调试; ⑶功能要求:用89C51单片机的定时器/计数器的定时和计数功能,外部扩展6 位 LED数码管,要求累计每秒进入单片机的外部脉冲个数,用LED数码 管显示出来。

目录 摘要............................................................................................................ .. (4) 1. 绪论............................................................................................................ . (4) 2. 设计要求及方案选 (6) 1.1 设计要求 (6) 1.2 方案选择 (6) 3.系统电路设计 (7) 3.1 基于单片机的数字频率计的原理 (7) 3.2 单片机的概述及引脚说明 (8) 3.3 单片机的最小系统 (9) 3.4 单片机的定时\计数 (9) 3.5 定时器\计数器的四种工作方式 (10) 3.6 主要程序段及软件流程图设计 (12) 3.6.1 流程图 (12) 3.6.2 源程序 (14) 结论............................................................................................................ (16) 致谢......................................................................................................... .. (17) 参考文献................................................................................................................. . (18) 附录........................................................................................................... .. (19)

锁相环调频和解调实验频率合成器实验

精心整理 实验11锁相调频与鉴频实验 一、实验目的 1. 掌握锁相环的基本概念。 2. 了解集成电路CD4046的内部结构和工作原理。 3. 掌握由集成锁相环电路组成的频率调制电路/解调电路的工作原理。 1. 2. 1. 2. 3. 4. 5. (1图11-1LF )和图11-1锁相环的基本组成 ① 压控振荡器(VCO ) VCO 是本控制系统的控制对象,被控参数通常是其振荡频率,控制信号为加在VCO 上的电压。所谓压控振荡器就是振荡频率受输入电压控制的振荡器。 ② 鉴相器(PD ) PD 是一个相位比较器,用来检测输出信号0V (t )与输入信号i V (t )之间的相位差θ(t),并把θ(t)转化为电压)(t V d 输出,)(t V d 称为误差电压,通常)(t V d 作为一直流分量或一低频交流量。

③环路滤波器(LF) LF作为一低通滤波电路,其作用是滤除因PD的非线性而在)(t V d 中产生的无用组 合频率分量及干扰,产生一个只反映θ(t)大小的控制信号)(t V C 。 4046锁相环芯片包含鉴相器(相位比较器)和压控振荡器两部分,而环路滤波器由外接阻容元件构成。 (2)锁相环锁相原理 锁相环是一种以消除频率误差为目的反馈控制电路,它的基本原理是利用相位误差电压去消除频率误差。按照反馈控制原理,如果由于某种原因使VCO的频率发生 变化使得与输入频率不相等,这必将使)(t V O 与)(t V i 的相位差θ(t)发生变化,该相位 差经过PD转换成误差电压)(t V d 。此误差电压经过LF滤波后得到)(t V c ,由)(t V c 去改变 VCO的振荡频率,使其趋近于输入信号的频率,最后达到相等。环路达到最后的这种 ),因此 (3 化) 锁相环(4046)的结构框图及引出端功能图如下图所示。 1.用锁相环(集成)构成的调频/解调(鉴频)电路 (1).锁相环调频原理 注:由于载波信号频率相对于调制信号频率高的多,故载波信号频率称为所谓的高频(只是相对而言),而调制信号频率则相对应的称为低频。 将调制信号加到压控振荡器(VCO)的控制端,使压控振荡器的输出频率(在自振频

电工电子技术课程设计说明书简易数字频率计设计

摘要 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。基础时间也可以大于或小于一秒。基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。基础时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。 关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器 简易数字频率计的设计 数字频率计是直接用十进制数字来显示被测量信号频率的一种测量装置,

它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。 频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=N/T 。原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.电路设计方案及其论证

1-1 ICM7216D 构成数字频率计电路图 由ICM7216D 构成的数字频率计 由ICM7216D 构成的10MHZ 频率计电路采用+5V 单电源供电。高精度晶体振荡器和321R C C 、、构成10MHz 并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。输出分别连接到相应数码显示管上。ICM7216D 要求输入信号的高电平大于,低电平小于,脉宽大于50ns ,所以实际应用中,需要根据具体情况增加一些辅助电路。 优点:这个电路由于芯片集成度相对较高,所以电路设计较为简单,操作比较简单。而且精确度高。 缺点:对于芯片不太熟悉,而且由于集成度太高,缺少电路设计,仿真软件中并没有这个芯片。由于输出级需要相应的辅助电路,为电路设计带来很大麻烦。

简易频率特性测试仪论文

2013年全国大学生电子设计竞赛 简易频率特性测试仪(E题) 【本科组】 2013年9月6日

摘要 本实验以DDS芯片AD9854为信号发生器,以单片机STM32F103RBT6为核心控制芯片。系统由5个模块组成:正弦扫频信号模块,待测阻容双T网络模块,整形滤波模块,A/D转换模块及显示模块。先以单片机送给AD9854控制字产生1MHZ —40MHZ的扫频信号,经过阻容双T网络检测电路,两路路信号通过AD9283对有效值进行采集后进入单片机进行幅值转换,最终由TFTLCD显示输出。 ABSTRACT In this experiment, the DDS chip AD9854 as the signal generator, MCU STM32F103RBT6 as the core control chip, and with FPGA as auxiliary, and on the peripheral circuit to realize the detection of amplitude frequency and phase frequency. The system comprises 6 modules: signal sine sweep signal module, the measured resistance capacitance of double T module, filter module, A/D conversion module and display module. The first single-chip microcomputer to AD9854 control word generate sweep signal of 10MHZ - 40MHZ, the resistance and capacitance of double T detection circuit, two road signals are collected on the effective value through the AD9283 into the microcontroller to amplitude conversion, the LCD display output, finally to complete the amplitude frequency and phase frequency of simple test.

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

简易数字频率计设计-现代电子设计课程设计报告

河南科技大学 课程设计说明书 课程名称__现代电子系统课程设计__题目___简易数字频率计设计__ 学院___电子信息工程学院___班级_电子信息科学与技术091班_学生姓名_____李可以______指导教师__齐晶晶、张雷鸣___日期2012.12.21

课程设计任务书 (指导教师填写) 课程设计名称现代电子系统课程设计学生姓名李可以专业班级电信科091 设计题目简易数字频率计设计 一、课程设计目的 掌握高速AD的使用方法; 掌握频率计的工作原理; 掌握GW48_SOPC实验箱的使用方法; 了解基于FPGA的电子系统的设计方法。 二、设计内容、技术条件和要求 设计一个具有如下功能的简易频率计。 (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据,十进制数值显示。 b.被测信号为幅值1~3V的方波、脉冲信号。 c.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 d.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.扩宽被测信号能测量正弦波、三角波。 c.其它。 三、时间进度安排 布置课题和讲解:1天查阅资料、设计:4天 实验:3天撰写报告:2天 四、主要参考文献 何小艇《电子系统设计》浙江大学出版社 2008.1 潘松黄继业《EDA技术实用教程》科学出版社 2006.10 齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心 2009.8 指导教师签字: 2012年 12月3日

摘要 频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。 在本文中,我们设计了一个简易数字频率计。主要分为如下几个部分: A/D模块:用硬件描述语言写一个状态机,控制ADC0809芯片正常工作,使输入的被测模拟信号经过ADC0809芯片处理,转化为数字信号。 比较整形模块:将A/D转换出来的数字信号通过比较,高于阈值的为1低于阈值的为0从而将八位数字信号转换为脉冲便于频率计算。 频率测量模块:常用的频率测量方法有很多。有计数法和计时法,等精度法等,具体的方案论证将在下面进行。 占空比计算模块:计算脉冲波占空比,具体的方案论证将在下面进行。 选择显示模块:由于只有四位数码管显示,所以用一个二选一选择器,通过一个按键控制四位数码管显示的内容是频率还是占空比。 关键词:数字频率计、模块、占空比、数字信号、测量、阈值

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

频率特性测试仪及其应用

第六章频率特性测试仪及其应用 早期频率特性的测量用逐点测绘的方法来实现。在整个测量过程中,应保持输入到被测网络信号的幅度不变,记录不同频率下相应输出的电压,根据所得到的数据,就可以在坐标纸上描绘出该网络的幅频特性曲线。显然,这种方法不仅操作繁锁、费时,而且有可能因测量频率间隔不够密而漏掉被测曲线上的某些细节,使得到的曲线不够精确。 扫频测量法是将等幅扫频信号加至被测电路输入端,然后用示波器来显示信号通过被测电路后振幅的变化。由于扫频信号的频率是连续变化的,在示波器屏幕上可直接显示出被测电路的幅频特性。 扫频信号发生器 扫描电压 发生器 (扫描信号)通用电子 示波器 被测电路峰值 检波器 (扫频X Y 信号) 图6-1 扫频法测量电路的幅频特性 扫频测量法的仪器连接如图6-1所示。扫描电压发生器一方面为示波器X轴提供扫描信号,一方面又用来控制等幅振荡的频率,使其产生按扫描规律频率从低到高周期性重复变化的扫频信号输出。扫频信号加至被测电路,其输出电压由峰值检波器检波,以反映输出电压随频率变化的规律。 扫频法利用扫描电压连续自动地改变频率,利用示波器直观地显示幅度随频率的变化,与点频测量法相比较,由于扫频信号频率是连续变化的,不存在测试频率的间断点,因此不会漏掉突变点,且能够观察到电路存在的各种冲激变化,如脉冲干扰等。调试电路过程中,可以一边调整电路元件,一边观察显示的曲线,随时判明元件变化对幅频特性产生的影响,迅速查找电路存在的故障。

扫频仪又称频率特性图示仪,这是将扫频信号源及示波器的X-Y显示功能结合为一体,并增加了某些附属电路而构成的一种通用电子仪器,用于测量网络的幅频特性。 一、扫频仪的基本工作原理 扫频仪的原理方框图如图6-2所示。 扫描电压发生器产生的扫描电压既加至X轴,又加至扫频信号发生器,使扫频信号的频率变化规律与扫描电压一致,从而使得每个扫描点与扫频信号输出的频率有一一对应的确定关系。扫描信号的波形可以是锯齿波,也可以是正弦波,因为光点的水平偏移与加至X 轴的电压成正比,即光点的偏移位置与X轴上所加电压有确定的对应关系,而扫描电压与扫频信号的输出瞬时频率又有一一对应关系,故X轴相应地成为频率坐标轴。 (a) 方框图(b)波形图 图6-2 扫频仪的原理方框图 扫频信号加至被测电路,检波探头对被测电路的输出信号进行峰值检波,并将检波所得信号送往示波器Y轴电路,该信号的幅度变化正好反映了被测电路的幅频特性,因而在屏幕上能直接观察到被测电路的幅频特性曲线。 为了标出X轴所代表的频率值,需另加频标信号。该信号是由作为频率标记的晶振信号与扫频信号混频而得到的。 下面以产品BT3型扫频仪为例对各部分加以说明。

相关文档
最新文档