PCB仿真

PCB仿真
PCB仿真

【摘要】

随着微电子技术和计算机技术的不断发展,信号完整性分析的应用已经成为解决高速系统设计的唯一有效途径。借助功能强大的Cadence公司SpecctraQuest 仿真软件,利用IBIS模型,对高速信号线进行布局布线前信号完整性仿真分析是一种简单可行行的分析方法,可以发现信号完整性问题,根据仿真结果在信号完整性相关问题上做出优化的设计,从而缩短设计周期。

本文概要地介绍了信号完整性(SI)的相关问题,基于信号完整性分析的PCB 设计方法,传输线基本理论,详尽的阐述了影响信号完整性的两大重要因素—反射和串扰的相关理论并提出了减小反射和串扰得有效办法。讨论了基于SpecctraQucst的仿真模型的建立并对仿真结果进行了分析。研究结果表明在高速电路设计中采用基于信号完整性的仿真设计是可行的, 也是必要的。

【关键字】

高速PCB、信号完整性、传输线、反射、串扰、仿真

Abstract

With the development of micro-electronics technology and computer technology,application of signal integrity analysis is the only way to solve high-speed system design. By dint of SpecctraQuest which is a powerful simulation software, it’s a simple and doable analytical method to make use of IBIS model to analyze signal integrity on high-speed signal lines before component placement and routing. This method can find out signal integrity problem and make optimization design on interrelated problem of signal integrity. Then the design period is shortened.

In this paper,interrelated problem of signal integrity, PCB design based on signal integrity, transmission lines basal principle are introduced summarily.The interrelated problem of reflection and crosstalk which are the two important factors that influence signal integrity is expounded. It gives effective methods to reduce reflection and crosstalk. The establishment of emulational model based on SpecctraQucst is discussed and the result of simulation is analysed. The researchful fruit indicates it’s doable and necessary to adopt emulational design based on signal integrity in

high-speed electrocircuit design.

Key Words

High-speed PCB、Signal integrity、Transmission lines、reflect、crosstalk、simulation

目录

第一章绪论 (5)

第二章Candence Allegro PCB简介 (6)

2.1 高速PCB的设计方法 (6)

2.2 SpecctraQuest Interconnect Designer在高速信号印刷板设计中的应用.7

2.3 PCB板的SI仿真分析 (8)

第三章信号完整性分析概论 (12)

3.1 信号完整性(Signal Integrity)概念 (12)

3.2 信号完整性的引发因素 (12)

3.3 信号完整性的解决方案 (14)

第四章传输线原理 (15)

4.1 传输线模型 (15)

4.2 传输线的特性阻抗 (16)

第五章反射的理论分析和仿真 (19)

5.1 反射形成机理 (19)

5.2 反射引起的振铃效应 (20)

5.3 端接电阻匹配方式 (23)

5.4 多负载的端接 (28)

5.5 反射的影响因素 (29)

第六章串扰的理论分析和仿真 (34)

6.1 容性耦合电流 (34)

6.2 感性耦合电流 (35)

6.3 近端串扰 (36)

6.4 远端串扰 (38)

6.5 串扰的影响因素 (41)

第七章结束语 (46)

参考文献 (47)

致谢 (47)

附录:A/D、D/A 采样测试板原理图和PCB板图 (61)

第一章绪论

随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,人们对通信需求的不断提高,要求信号的传输和处理的速度越来越快,相应的高速PCB的应用也越来越广,设计也越来越复杂。高速电路有两个方面的含义,一是频率高,通常认为数字电路的频率达到或是超过45MHZ 至50MHZ,而且工作在这个频率之上的电路已经占到了整个系统的三分之一,就称为高速电路;二是从信号的上升与下降时间考虑,当信号的上升时小于6倍信号传输延时时即认为信号是高速信号,此时考虑的与信号的具体频率无关.高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自己的经验去布线,会顾此失彼,造成研发周期过长,浪费财力物力,生产出来的产品不稳定。

高速电路设计在现代电路设计中所占的比例越来越大,设计难度也越来越高,它的解决不仅需要高速器件,更需要设计者的智慧和仔细的工作,必须认真研究分析具体情况,解决存在的高速电路问题.一般说来主要包括三方面的设计:信号完整性设计、电磁兼容设计、电源完整性设计.

在电子系统与电路全面进入1GHz以上的高速高频设计领域的今天,在实现VLSI芯片、PCB和系统设计功能的前提下具有性能属性的信号完整性问题已经成为电子设计的一个瓶颈。从广义上讲,信号完整性指的是在高速产品中有互连线引起的所有问题,它主要研究互连线与数字信号的电压电流波形相互作用时其电气特性参数如何影响产品的性能。

传统的设计方法在制作的过程中没有仿真软件来考虑信号完整性问题,产品首次成功是很难的,降低了生产效率。只有在设计过程中融入信号完整性分析,才能做到产品在上市时间和性能方面占优势。对于高速PCB设计者来说,熟悉信号完整性问题机理理论知识、熟练掌握信号完整性分析方法、灵活设计信号完整性问题的解决方案是很重要的,因为只有这样才能成为21世纪信息高速化的成功硬件工程师。

信号完整性的研究还是一个不成熟的领域,很多问题只能做定性分析,为此,在设计过程中首先要尽量应用已经成熟的工程经验;其次是要对产品的性能做出预测和评估以及仿真。在设计过程中可以不断积累分析能力,不断创新解决信号完整性的方法,利用仿真工具可以得到检验。

第二章:Candence Allegro PCB简介

2.1 高速PCB的设计方法

2.1.1 传统的PCB设计方法

如图2.1是传统的设计方法,在最后测试之前,没有做任何的处理,基本都是依靠设计者的经验来完成的。在对样机测试检验时才可以查找到问题,确定问题原因。为了解决问题,很可能又要从头开始设计一遍。无论是从开发周期还是开发成本上看,这种主要依赖设计者经验的方法不能满足现代产品开发的要求,更不能适应现代高速电路高复杂性的设计。所以必须借助先进的设计工具来定性、定量的分析,控制设计流程。

图2.1 图2.2

2.1.2 Cadence的PCB设计方法

现在越来越多的高速设计是采用一种有利于加快开发周期的更有效的方法。先是建立一套满足设计性能指标的物理设计规择,通过这些规则来限制PCB布局布线。在器件安装之前,先进行仿真设计。在这种虚拟测试中,设计者可以对比设计指标来评估性能。而这些关键的前提因素是要建立一套针对性能指标的物理设计规则,而规则的基础又是建立在基于模型的仿真分析和准确预测电气特性之

上的,所以不同阶段的仿真分析显得非常重要。

Cadence公司针对PCB Design Studio发布一个功能非常实用的高速电路设计及信号完整性分析的工具选件——Allegro PCB,利用这个仿真软件能够根据叠层的排序,PCB的介电常数,介质的厚度,信号层所处的位置以及线宽等等来判断某一PCB线条是否属于微带线、带状线、宽带耦合带状线,并且根据不同的计算公式自动计算出信号线的阻抗以及信号线的反射、串扰、电磁干扰等等,从而可以对布线进行约束以保证PCB的信号完整性。

在布线时利用Interconnect Designer工具设置各种约束条件,这些约束条件包括了范围广泛的物理和电气性能参数,如常见的PCB线宽,过孔数目,阻抗范围,还有峰值串扰,过冲特性,信号延时,阻抗匹配等,用仿真的结果做出在PCB中对时序、信号完整性、电磁兼容、时间特性及其他相关问题上做出最优化的设计。

Cadence软件针对高速PCB的设计开发了自己的设计流程,如图2它的主要思想是用好的仿真分析设计来预防问题的发生,尽量在PCB制作前解决一切可能发生的问题。与左边传统的设计流程相比,最主要的差别是在流程中增加了控制节点,可以有效地控制设计流程。它将原理图设计、PCB布局布线和高速仿真分析集成于一体,可以解决在设计中各个环节存在的与电气性能相关的问题。通过对时序、信噪、串扰、电源结构和电磁兼容等多方面的因素进行分析,可以在布局布线之前对系统的信号完整性、电源完整性、电磁干扰等问题作最优的设计。2.2 SpecctraQuest Interconnect Designer在高速

PCB设计中的应用

2.2.1 高速系统设计的若干问题

“高速”设计并不是只适用于以较高时钟速率运行的设计,随着驱动器的上升和下降时间缩短,信号完整性和EMC问题就会加大。如果所用片子的信号和时钟边沿速率为1至2ns或更快,即使运行在几兆赫的板子也要精心考虑。信号传递速度快的板子在设计时就要采用虚拟样板,先对系统功能进行透彻的仿真,然后决定电路图的布局布线。所谓虚拟样板是供设计者先行模拟仿真的系统模型。对模拟样板进行仿真,是为了分析信号的完整性和EMC性能,这意味着样板里必须有足够精确的器件模型。片子模型通常有两类:一类是功能级;另一类是电路

/器件级,后者一般用的是Spice语言或类似Spice的语言。功能级模型用于对系统

级整体设计的评估,而电路/器件模型则用于对设计内部各个零部件进行精确分析,找出难以鉴定的隐患。对这两类模型都要进行仿真,并检查器件互连及板子

通路。

2.2.2 SpecctraQuest interconnect Designer的性能简介

SpecctraQuest interconnect Designer是Cadence公司为了满足高速系统和板级

设计需要而开发的工程设计环境。它将功能设计和物理实际设计有机的结合在一起。设计工程师能在直观的环境中探索并解决与系统功能息息相关的高速设计问题。在进行实际的布局和布线之前,SpecctraQuest Interconnect Designer使设计工程师在时间特性,信号完整性,EMI,散热及其他相关问题上作出最优化的设计。这种统一的考虑不仅在单块板的系统中得到完美体现,更能在多块板构成的系统中,包括ASIC芯片,电路板,连接电缆,插接件等之间的连接进行分析。SpecctraQuest可以接受许多第三方厂商的网络表信息,时间特性数据(例如IBIS 模型),提供了强大且易用的高速设计必须考虑的参数设置环境。元件的IBIS仿真模型由元件的制造商提供,也可以自定义元件的模型。IBIS(input/output buffer information) 输入/输出缓冲器信息规范,是一个元件的标准模型信息。IBIS模型是一种基于V/I曲线的对I/O缓冲器快速准确建摸的方法,是反映芯片驱动和接收电气特性的一种国际标准,它提供一种标准的文件格式来记录如驱动器输出阻抗、上升/下降时间及输出负载等参数,非常适合做振铃( ringing)和串扰(crosstalk)等高频效应的计算与仿真。

IBIS模型是用于描述I/O 缓冲信息特性的模型,一个输出输入端口的行为描述可以分解为一系列的简单的功能模块,由这些简单的功能模块就可以建立起完整

的IBIS模型,包括封装所带来的寄生参数、硅片本身的寄生电容、电源或地的嵌压保护电路、门限和使能逻辑、上拉和下拉电路等。

在SpecctraQuest的参数设置环境中你可以针对不同设计要求规定不同的约

束条件。这些不同的约束条件可以通过参数分配表分配给电路板上不同的特定区域,或者分配给某一个信号组(group),甚至具体到某一个网络。这些约束条件

包括了范围广泛的物理和电气性能参数,如常见的PCB线宽,过孔数目,阻抗范围,还有峰值串扰,过冲特性,信号延时,阻抗匹配等。SpecctraQuest内部包括

SigNoise信号完整性分析工具,SigNoise能接受IBIS,Elecmodel和Quad模型,转换成其独特的设计模型化语言(DML)以完成复杂I/O结构的建模。这种结构内有可编程驱动强度缓冲器,动态上拉/下拉I/O缓冲器和动态钳位二极管。这种复杂的I/O结构模型是纯IBIS模型难以作到的。DML语言以Spice语言为基础,把IBIS模型嵌套在较大的宏模型中,在较大的Spice模型中有功能性IBIS模型,因此SigNoise能以快得多的速度进行仿真,而这种速度是纯Spice模型所无法达到的。

SpecctraQuest对高速系统的信号完整性分析和波形仿真,在高速系统设计中具有指导意义。设计者可以在电路板预布局的情况下,就可以对系统特性进行仿真,而且实践证明,仿真结果不好的布局,在完成布线后的仿真结果也不好。在进行布局的调整,完成布线后,再进行仿真,对于效果不好的网络分析原因,再加以针对性的改进,直至得到满意的布线结果。SpecctraQuest仿真流程如下:

图2.3

第三章信号完整性分析概论

3.1 信号完整性(Signal Integrity)概念

信号完整性是指信号在信号线上的质量。信号具有良好的信号完整性是指当在需要的时候,具有所必需达到的电压电平数值。差的信号完整性不是由某一因素导致的,而是由板级设计中多种因素共同引起的。特别是在高速电路中,所使用的芯片的切换速度过快、端接元件布设不合理、电路的互联不合理等都会引起信号的完整性问题。具体主要包括串扰、反射、过冲与下冲、振荡、信号延迟等。

3.2 信号完整性的引发因素

信号完整性问题由多种因素引起,归结起来有反射、串扰、过冲和下冲、振铃、信号延迟等,其中反射和串扰是引发信号完整性问题的两大主要因素。3.2.1 反射(reflection)

反射和我们所熟悉的光经过不连续的介质时都会有部分能量反射回来一样,就是信号在传输线上的回波现象。此时信号功率没有全部传输到负载处,有一部分被反射回来了。在高速的PCB中导线必须等效为传输线,按照传输线理论,如果源端与负载端具有相同的阻抗,反射就不会发生了。如果二者阻抗不匹配就会引起反射,负载会将一部分电压反射回源端。根据负载阻抗和源阻抗的关系大小不同,反射电压可能为正,也可能为负。如果反射信号很强,叠加在原信号上,很可能改变逻辑状态,导致接收数据错误。如果在时钟信号上可能引起时钟沿不单调,进而引起误触发。一般布线的几何形状、不正确的线端接、经过连接器的传输及电源平面的不连续等因素均会导致此类反射。另外常有一个输出多个接收,这时不同的布线策略产生的反射对每个接收端的影响也不相同,所以布线策略也是影响反射的一个不可忽视的因素。

3.2.2 串扰(crosstalk)

串扰是相邻两条信号线之间的不必要的耦合,信号线之间的互感和互容引起线上的噪声。因此也就把它分为感性串扰和容性串扰,分别引发耦合电流和耦合电压。当信号的边沿速率低于lns时,串扰问题就应该考虑了。如果信号线上有交变的信号电流通过时,会产生交变的磁场,处于磁场中的相邻的信号线会感应出信号电压。一般PCB板层的参数、信号线间距、驱动端和接收端的电气特性及

信号线的端接方式对串扰都有一定的影响。在Cadence的信号仿真工具中可以同时对6条耦合信号线进行串扰后仿真,可以设置的扫描参数有:PCB的介电常数,介质的厚度,沉铜厚度,信号线长度和宽度,信号线的间距.仿真时还必须指定一个受侵害的信号线,也就是考察另外的信号线对本条线路的干扰情况,激励设置为常高或是常低,这样就可以测到其他信号线对本条信号线的感应电压的总和,从而可以得到满足要求的最小间距和最大并行长度。

3.2.3 过冲(overshoot)和下冲(undershoot)

过冲是由于电路切换速度过快以及上面提到的反射所引起的信号跳变,也就是信号第一个峰值超过了峰值或谷值的设定电压。下冲是指下一个谷值或峰值。过分的过冲能够引起保护二极管工作,导致过早地失效,严重的还会损坏器件。过分的下冲能够引起假的时钟或数据错误。它们可以通过增加适当端接予以减少或消除。

3.2.4 振铃(ringing)

振荡的现象是反复出现过冲和下冲。信号的振铃由传输线上过度的电感和电容引起的接收端与传输线和源端的阻抗不匹配而产生的,通常发生在逻辑电平门限附近,多次跨越逻辑电平门限会导致逻辑功能紊乱。振铃由反射等多种因素引起的,振铃可以通过适当的端接或是改变PCB参数予以减小,但是不可能完全消除。

在Cadence的信号仿真软件中,将以上的信号完整性问题都放在反射参数中去度量。在接收和驱动器件的IBIS模型库中,我们只需要设置不同的传输线阻抗参数、电阻值、信号传输速率以及选择微带线还是带状线,就可以通过仿真工具直接计算出信号的波形以及相应的数据,这样就可以找出匹配的传输线阻抗值、电阻值、信号传输速率,在对应的PCB软件Allegro中,就可以根据相对应的传输线阻抗值和信号传输速率得到各层中相对应信号线的宽度(需提前设好叠层的顺序和各参数)。选择电阻匹配的方式也有多种,包括源端端接和并行端接等,根据不同的电路选择不同的方式。在布线策略上也可以选择不同的方式:菊花型、星型、自定义型,每种方式都有其优缺点,可以根据不同的电路仿真结果来确定具体的选择方式。

3.2.5 信号延迟(delay)

电路中只能按照规定的时序接收数据,过长的信号延迟可能导致时序和功能的混乱,在低速的系统中不会有问题,但是信号边缘速率加快,时钟速率提高,信号在器件之间的传输时间以及同步时间就会缩短。驱动过载、走线过长都会引起延时。必须在越来越短的时间预算中要满足所有门延时,包括建立时间,保持时间,线延迟和偏斜。由于传输线上的等效电容和电感都会对信号的数字切换产生延迟,加上反射引起的振荡回绕,使得数据信号不能满足接收端器件正确接收所需要的时间,从而导致接收错误。在Cadence的信号仿真软件中,将信号的延迟也放在反射的子参数中度量,有Settledelay、Switchdelay、Propdelay。其中前两个与IBIS模型库中的测试负载有关,这两个参数可以通过驱动器件和接收器件的用户手册参数得到,可以将它们与仿真后的Settledelay、Switchdelay加以比较,如果在Slow模式下得到的Switchdelay都小于计算得到的值,并且在Fast的模式下得到的Switchdelay的值都大于计算得到的值,就可以得出我们真正需要的两个器件之间的时延范围Propdelay。在具体器件布放的时候,如果器件的位置不合适,在对应的时延表中那部分会显示红色,当把其位置调整合适后将会变成蓝色,表示信号在器件之间的延时已经满足Propdelay规定的范围了。

3.3 信号完整性的解决方案

随着各种PCB仿真软件的出现,通过仿真指导布局来解决信号完整性问题成为行之有效的途径。首先在电路设计方案中,设计者可有多种选择,并能通过设计同步切换输出数量,各单元的最大dI/dt和dV/dt等工作来控制信号的完整性,也可为高扇出功能块,如时钟驱动器选择使用差分信号。在布线过程中,可以通过在SpecctraQues中设置约束条件来使布线符合规定条件,以得到对于延迟的准确预测。对电路进行电路仿真这在现代高速PCB板设计中显得尤为重要,而且它具有的最大优点是显而易见,给设计师科学、准确和直观的设计结果,便于及时更改与纠正,缩短了设计时间,降低了成本设计者应对相关因素作出估计,建立合理的模型。随着时钟频率的增加,这将成为一项关键的确认和验证步骤。在现代高速PCB设计中,保持信号完整性对设计者来说越来越富有挑战性。

号完整性要求。

第四章 传输线原理

简单的说,传输线是由两条有一定长度的导线组成。如信号在走线上的传输时间大于电平跳变上升/下降时间的一半,则该走线判定为传输线。

4.1 传输线模型

平行传输线如下图所示:

图4.1

信号路径和返回路径所在的传输线不可能是理想的导体,因此它们都有有限的电阻,电阻的大小由传输线的长度和横截面积决定。任何传输线都可以划分为一系列串接线段。同样的在传输线之间的介质也不可能是理想的绝缘体,漏电流总是存在的,可以用单位长度传输线的漏电流来衡量。

如果AB 导线间的电压不随时间而变化,在AB 导线就会存在静态电场。由静电学原理可知,由静电场产生的电压为:

??=dl E V

如果两导线上带有等量、极性相反的自由电荷,根据库仑定律,导线间的静电场为:

24r

Q E πε= Q 是自由电荷量,ε是介电常数,r 是导线间距。传输线上的电荷以及其间的电压构成了电容:

V

Q C = 由于电容量会随传输线的长度线性增加,在分析中运用传输线的单位长度电

容。

导线中的电流会在周围产生磁场,由安培定律有:

?=?I dl H

由毕奥-沙伐尔定律有: 34r r I d l dB πμ?=

H 是磁场强度,B 是磁通密度,μ是磁导率。

如果导线间的磁通量随时间变化,传输线上就会产生感应电压,由法拉第定律有:

dt

di L dt d V ==φ 综上所述,传输线模型段由串联电阻和电感、并联电容组成,如下图:

图4.2

从电路分析的角度讲,以上三种结构安排是等价的,实际的传输线模型由无数多个短线段组成,短线段的长度趋于零。由一系列短传输线段组成的传输线模型如下:

图4.3

4.2 传输线的特性阻抗

考虑短线段上的电阻和电感,其阻抗为:

)(L j R l Z s ω+=

同样的综合电容和电导,其阻抗为:

)

(11C j G l Y Z P P ω+== 在下图中假设传输线的长度无限大,每一小段传输线的阻抗是相等的,即: n Z Z Z Z =???===321

图4.4

对于均与传输线,当信号在上面传输时,在任何一处所受到的瞬态阻抗是相同的,称之为传输线的特性阻抗。所以上图可以简化为下图:

图4.5

由上面的讨论可知传输线的输入阻抗和特性阻抗必然相等,即:

0Z Z in =

由上图的电路结构知:

000Z Z Z Z Z Z Z P

P s in =++

= 求解上式得: 2

42

0P S S S Z Z Z Z Z +±= 根据S Z 和P Z 的定义,可得:

C

j G L j R L j R l L j R l Z ωωωω++++±+=4)(212)(220 因为l 很小,所以上式可以简化为:

P S Z Z C

j G L j R Z =++=ωω0 在低频情况下,比如信号频率小于1KHz 时,特性阻抗为:

G R Z =0 当信号频率很高,比如大于

100MHz 时,L ω和C ω远大于R 和G ,所以上式进一步简化为:

C L Z =0

第五章 反射的理论分析和仿真

如果信号沿互连线传播时所受的瞬态阻抗发生变化,则一部份信号将被反射,另一部份信号发生失真并继续传播下去。

5.1 反射形成机理

信号沿传输线传播时,其路径上的每一步都有相应的瞬态阻抗,无论是什么原因使瞬态阻抗发生了变化,信号都将产生反射现象,瞬态阻抗变化越大,反射越大。

图5.1

信号到达瞬态阻抗不同的两个区域的交界面时,在导体中只存在一个电压和一个电流回路,边界处不可能出现电压不连续,否则此处有一个无限大的电场;也不可能出现电流不连续,否则此处有一个无限大的磁场,所以交界面的电压和电流一定连续,则有:

21V V =,21I I =

而由欧姆定律知:

111/Z V I =,222/Z V I =

当交界面两侧的阻抗不同时,以上四个关系不可能同时成立,这就说明在交界面上必然有反射回发射端的电压,以平衡交界面两端不匹配的电压和电流。

入射信号电压i V 向着分界面传播,而传输信号电压t V 远离分界面而传播,入射电压穿越分界面时,产生反射电压r V ,则有:

t r i V V V =+

相应的当入射电流i I 穿越分界面时,反射电流r I 和传输电流t I 的关系为: t r i I I I =-

按照欧姆定律,每个区域中的电压与电流的关系为:

1/Z I V i i =,1/Z I V r r =,2/Z I V t t =

通过换算可以得到:

1212/Z Z Z Z V V i r +-=,1

22*2/Z Z Z V V i t += 由此可以看出,缩小1Z 和2Z 的差值,有利于减小反射电压,在实际运用中,通过给传输线端接匹配阻抗来实现。

在典型的数字系统中,驱动器的输出阻抗通常小于PCB 互联信号线的特征阻抗,而PCB 互联信号线的特征阻抗也总是小于接收器的输入阻抗。这种阻抗的不连续性就会导致设计系统中信号反射的出现。

5.2反射引起的振铃效应

5.2.1 由电路谐振产生的振铃效应

在研究由反射引起的振铃效应前,先讨论由电路谐振引起的振铃效应。在时钟速度高达10MHz 的数字系统中,振铃(Ringing )现象是设计中的显著问题。传导系统对输入信号的响应,在很大程度上取决于系统的尺寸是否小于信号中最快的电气特性的有效长度,反之亦然。电气特性的有效长度由它的持续时间和传播延迟决定,即l=Tr/D (Tr =上升时间,ps ;D=延迟,ps/in )。如果走线长度小于有效长度的1/6,该电路表现为集总系统,如果系统对输入脉冲的响应是沿走线分布的,称之为分布系统。

图5.2

对于不同长度的印制板布线,有不同的处理方法。一般来说,长度小于2英寸的走线的电气特性更像集总参数的LC 电路;长度大于8英寸的走线的电气特性更像分布参量的传输线电路。为了消除以振铃噪声,对于不同长度的走线有不同的处理措施,这些措施和印制版走线的等效电路模型有关。

印制版的走线类似于谐振电路,由板上的铜铂提供电感,负载提供电容,同时铜铂依其长度有分布电感存在。下图即为其简化模型:

图5.3

在此模型中C 为Source 驱动 源的负载管脚的分布电容,该电路模型为一

LC 谐振电路,如果其电感量为L ,电容为C ,则其谐振频率为:

LC f π21

=

振铃噪声大致正比于谐振周期和时钟沿上升/下降时间的比值。当走线很短时,电感量和分布电容量都很小,这样谐振频率很高,谐振周期很短,振铃的幅度亦很小。当走线长度增加时,电感量和分布电容量都加大,谐振周期变长,振铃幅度也加大,此时对电路的正常工作会产生较大的影响。如下图所示:

图5.4

减小振铃噪声的一种有效手段是在电路中串联一个小电阻,此时电路模型变为下图:

图5.5

显然,该电阻为谐振电路提供了阻尼,该阻尼电阻能显著减小振铃幅度,缩

短振铃震荡时间,同时几乎不影响电路速度。在工程使用上,该电阻通常为25欧姆。

理论上,电平从高到低跳变和从低到高跳变都会引起振铃,但是在典型的TTL电路中,从高到低的电平跳变引起的振铃现象更为显著。这是因为相对于从低到高的电平跳变,CMOS和TTL的输出级在从高到低的跳变时有更强的驱动能力,同时其等效的输出阻抗更小,一般只有3-10欧姆,这样就不能为谐振回路提供强的阻尼,所以从高到低的跳变引起的振铃较剧烈,对电路的影响也较大。同时TTL电平对高低门限有不同耐受程度:典型的逻辑信号在高电平时有3.5V,而在低电平时为0.2V,而高低电平门限为1.4V,所以在从低到高的跳变产生的振铃必须有(3.5-1.4=2.1V)的幅度才会产生数据错误;而从高到低的振铃幅度只要有(1.4-0.2=1.2V)就会产生数据错误。

对长度小于2英寸,线宽10mil的走线进行仿真,发射端为74LCX16374芯片NO.23引脚,接收端为Virtex_ⅡNO.D2引脚,激励为100MHZ的方波,如下图所示:

图5.6

在不加阻尼电阻、加入阻尼电阻R=25ohm、R=50ohm、R=100ohm的情况下得到的仿真结果如下表:

表5.1

仿真波形对比如下:

图5.7

从上图可看出,在接收端波无阻尼电阻时波形有明显的振铃效应存在,为了减小振铃效应,在发射端与接收端之间加入阻尼电阻后,振铃效应有明显的改善,随着R 的增大,振铃的幅度和次数逐渐减少,对于波形的改善有一定效果。

5.2.2 反射引起的振铃效应

驱动源总存在内阻,内阻对进入传输线的初始电压有重要影响。当反射波最终到达源端时,将此内阻作为瞬态阻抗,它的值决定了反射波再次反射回远端的情况。

进入传输线的实际电压是由源电压及内阻和传输线组成的分压器共同决定的,设源电压为0V ,内阻为0R ,传输线的特性阻抗为0Z ,则进入传输线的实际电压为:

000*R Z Z V V i += 由此可见减小电源的内阻有利于提高电源的利用率,在实际运用中,驱动源内阻都远小于传输线特性阻抗,而负载的输入阻抗一般都大于传输线的特性阻抗,这样就会导致在源端出现负反射,在负载端出现正反射,反射波在源端和负载端来回反射就会引起振铃现象,与电路谐振所产生的振铃效应相比,其本质上是有区别的。

当走线很长时,由反射引起的振铃是很严重的,对走线长度为10in 的传输线

PCB设计基本概述

PCB设计基础知识 印刷电路板(Printedcircuit board,PCB)几乎会出现在每一种电子设备当中。如果在某样设备中有电子零件,那么它们也都是镶在大小各异的PCB上。除了固定各种小零件外,PCB的主要功能是提供上头各项零件的相互电气连接。随着电子设备越来越复杂,需要的零件越来越多,PCB上头的线路与零件也越来越密集了。 标准的PCB长得就像这样。裸板(上头没有零件)也常被称为「印刷线路板PrintedWiring Board(PWB)」。 板子本身的基板是由绝缘隔热、并不易弯曲的材质所制作成。在表面可以看到的细小线路材料是铜箔,原本铜箔是覆盖在整个板子上的,而在制造过程中部份被蚀刻处理掉,留下来的部份就变成网状的细小线路了。这些线路被称作导线(conductor pattern)或称布线,并用来提供PCB上零件的电路连接。 为了将零件固定在PCB上面,我们将它们的接脚直接焊在布线上。在最基本的PCB(单面板)上,零件都集中在其中一面,导线则都集中在另一面。这么一来我们就需要在板子上打洞,这样接脚才能穿过板子到另一面,所

以零件的接脚是焊在另一面上的。因为如此,PCB的正反面分别被称为零件面(C omponent?Side)与焊接面(Solder Side)。 如果PCB上头有某些零件,需要在制作完成后也可以拿掉或装回去,那么该零件安装时会用到插座(Socket)。由于插座是直接焊在板子上的,零件可以任意的拆装。下面看到的是ZIF(Zero Insertion ?Force,零拨插力式)插座,它可以让零件(这里指的是CPU)可以轻松插进插座,也可以拆下来。插座旁的固定杆,可以在您插进零件后将其固定。 如果要将两块PCB相互连结,一般我们都会用到俗称「金手指」的边接头(edge ?connector)。金手指上包含了许多裸露的铜垫,这些铜垫事实上也是PCB布线的一部份。通常连接时,我们将其中一片PC B上的金手指插进另一片PCB上合适的插槽上(一般叫做扩充槽Slot)。在计算机中,像是显示卡,声卡或是其它类似的界面卡,都是借着金手指来与主机板 连接的。 PCB上的绿色或是棕色,是阻焊漆(solder ? mask)的颜色。这层是绝缘的防护层,可以保护铜线,也可以防止零件被焊到不正确的地方。在阻焊层上另外会印刷上一层丝网印刷面(silk screen)。通常在这上面会印上文字与符号(大多是白色的),以标示出各零件在板子上的位置。丝网印刷面也被称作图标面(legend)。 单面板(Single-SidedBoards)?我们刚刚提到过,在最基本的PCB上,零件集中在其中一面,导线则集中在另一面上。因为导线只出现在其中一面,所以我们就称这种PCB叫作单面板(Single-sid

DDR3内存的PCB仿真与设计

本文主要使用时域分析工具对DDR3设计进行量化分析,介绍了影响信号完整性的主要因素对DDR3进行时序分析,通过分析结果进行改进及优化设计。 1 概述 当今计算机系统DDR3存储器技术已得到广泛应用,数据传输率一再被提升,现已高达1866Mbps。在这种高速总线条件下,要保证数据传输质量的可靠性和满足并行总线的时序要求,对设计实现提出了极大的挑战。 本文主要使用了Cadence公司的时域分析工具对DDR3设计进行量化分析,介绍了影响信号完整性的主要因素对DDR3进行时序分析,通过分析结果进行改进及优化设计,提升信号质量使其可靠性和安全性大大提高。 2 DDR3介绍 DDR3内存与DDR2内存相似包含控制器和存储器2个部分,都采用源同步时序,即选通信号(时钟)不是独立的时钟源发送,而是由驱动芯片发送。它比DR2有更高的数据传输率,最高可达1866Mbps;DDR3还采用8位预取技术,明显提高了存储带宽;其工作电压为1.5V,保证相同频率下功耗更低。 DDR3接口设计实现比较困难,它采取了特有的Fly-by拓扑结构,用“Write leveling”技术来控制器件内部偏移时序等有效措施。虽然在保证设计实现和信号的完整性起到一定作用,但要实现高频率高带宽的存储系统还不全面,需要进行仿真分析才能保证设计实现和信号质量的完整性。 3 仿真分析 对DDR3进行仿真分析是以结合项目进行具体说明:选用PowerPC 64位双核CPU 模块,该模块采用Micron公司的MT41J256M16HA—125IT为存储器。Freescale 公司P5020为处理器进行分析,模块配置内存总线数据传输率为1333MT/s,仿真频率为666MHz。 3.1仿真前准备 在分析前需根据DDR3的阻抗与印制板厂商沟通确认其PCB的叠层结构。在高速传输中确保传输线性能良好的关键是特性阻抗连续,确定高速PCB信号线的阻抗控制在一定的范围内,使印制板成为“可控阻抗板”,这是仿真分析的基础。DDR3总线单线阻抗为50Ω,差分线阻抗为100Ω。 设置分析网络终端的电压值;对分析的器件包括无源器件分配模型;确定器件类属性;确保器件引脚属性(输入\输出、电源\地等)……

030442003高速电路板设计与仿真

《高速电路板设计与仿真》课程教学大纲 课程代码:030442003 课程英文名称:High Speed Printed Circuit Board Design and Emulation 课程类别:专业基础课 课程性质:选修 适用专业:电子科学与技术 课程总学时:40 讲课:40 实验:0 上机:0 大纲编写(修订)时间:2011.7 一、大纲使用说明 (一)课程的地位及教学目标 本课程是电子科学与技术专业的专业任选课, 属于专业技术基础课,是一门重要的实践课程。通过本课程的学习,学生能够利用先进的高端软件设计高速电路板,绘制出具有实际意义的原理图和印刷电路板图,具有对设计中的信号完整性、电磁兼容性、电源完整性等问题的分析能力,熟悉一定的电子工艺和印刷电路板的布局布线知识,为今后从事高端设计工作打下一定的基础。 (二)知识、能力及技能方面的基本要求 在知识方面,要求学生具有初步的半导体工艺、印制电路、芯片封装等方面的知识,还要了解信号完整性、电磁兼容性、电源完整性等方面的基本概念,如此才能设计出高质量的高速PCB。在能力方面,要求学生具备一些计算机方面的操作技能。 (三)实施说明 1.教学内容:包括原理图设计、PCB设计、高速信号仿真三部分,其中PCB设计为重点内容。应突出高速和高质量PCB的讲解,以适应高端设计要求。讲课要理论联系实际,设计具有实际意义的原理图和印刷电路板图,而不只是空讲理论知识。 2.教学方法:采用启发式教学,提高学生分析问题和解决问题的能力。鼓励学生通过实践和自学获取知识,培养学生的自学能力,调动学生自行设计的学习积极性和创新能力。 3.教学手段:本课程属于技术基础课,在教学中可采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 4.大纲实施时应贯彻学院工程训练与工程教育相结合的特点,注重学生的能力培养和专业素质的提高,尤其是培养学生的实际动手设计和操作的能力。 (四)对先修课的要求 本课程的先修课为电路、模拟电子电路、数字电子电路、计算机基础知识。 (五)对习题课、实践环节的要求 每次课后留有一定量的操作练习,要求学生课后在自己的电脑上学习操作。本课程无实验。 (六)课程考核方式 1.考核方式:考查 2.考核目标:考核学生是否掌握了软件的基本操作方法,重点考核学生的原理图绘制和印刷电路板的设计能力,所设计的项目是否具有实际意义。 3.成绩构成:本课程的总成绩由两部分组成:平时成绩(包括平时自行练习、出勤等)占20%,期末验收成绩(以综合作业完成情况给出成绩)占80%。按优、良、中、及格、不及格五级给出最后成绩。 (七)参考书目 《Cadence SPB 15.7工程实例入门》于争著,电子工业出版社, 2010.5.

PCB设计基本概述(doc 18页)

PCB设计基本概述(doc 18页)

PCB设计基础知识 印刷电路板(Printed circuit board,PCB)几乎会出现在每一种电子设备当中。如果在某样设备中有电子零件,那么它们也都是镶在大小各异的PCB上。除了固定各种小零件外,PCB的主要功能是提供上头各项零件的相互电气连接。随着电子设备越来越复杂,需要的零件越来越多,PCB上头的线路与零件也越来越密集了。 标准的PCB长得就像这样。裸板(上头没有零件)也常被称为「印刷线路板Printed Wiring Board(PWB)」。 板子本身的基板是由绝缘隔热、并不易弯曲的材质所制作成。在表面可以看到的细小线路材料是铜箔,原本铜箔是覆盖在整个板子上的,而在制造过程中部份被蚀刻处理掉,留下来的部份就变成网状的细小线路了。这些线路被称作导线(conductor pattern)或称布线,并用来提供PCB上零件的电路连接。 为了将零件固定在PCB上面,我们将它们的接脚直接焊在布线上。在最基本的PCB(单面板)上,零件都集中在其中一面,导线则都集中在另一面。这么一来我们就需要在板子上打洞,这样接脚才能穿过板子到另一面,所以零件的接脚是焊在另一面上的。因为如此,PCB的正反面分别被称为

零件面(Component Side)与焊接面(Solder Side)。 如果PCB上头有某些零件,需要在制作完成后也可以拿掉或装回去,那么该零件安装时会用到插座(Socket)。由于插座是直接焊在板子上的,零件可以任意的拆装。下面看到的是ZIF(Zero Insertion Force,零拨插力式)插座,它可以让零件(这里指的是CPU)可以轻松插进插座,也可以拆下来。插座旁的固定杆,可以在您插进零件后将其固定。 如果要将两块PCB相互连结,一般我们都会用到俗称「金手指」的边接头(edge connector)。金手指上包含了许多裸露的铜垫,这些铜垫事实上也是PCB布线的一部份。通常连接时,我们将其中一片PCB上的金手指插进另一片PCB上合适的插槽上(一般叫做扩充槽Slot)。在计算机中,像是显示卡,声卡或是其它类似的界面卡,都是借着金手指来与主机板连接的。 PCB上的绿色或是棕色,是阻焊漆(solder mask)的颜色。这层是绝缘的防护层,可以保护铜线,也可以防止零件被焊到不正确的地方。在阻焊层上另外会印刷上一层丝网印刷面(silk screen)。通常在这上面会印上文字与符号(大多是白色的),以标示出各零件在板子上的位置。丝网印刷面也被称作图标面(legend)。

配电系统物理仿真平台--北京丹华昊博电力科技有限公司

配电系统物理仿真平台 一、概述 由于电力系统暂态及稳态的复杂性,在进行理论研究的同时也必须进行试验研究,二者缺一不可。电力系统的试验可以在原型上进行,也可以在模型上进行,电力系统的物理模拟试验是电力系统研究的重要方法。目前配网自动化全面建设,无论是理论还是实际运行,都存在许多问题,各种配网自动化设备都需要试验、检测,配电系统物理仿真平台就是解决这些问题的重要方法。 北京丹华昊博电力科技有限公司结合杨以涵教授30年小电流接地选线研究心得,率先与华北电力大学合作,建成国家重点试验室——“1:1 10kV高压物理模拟试验室”,又与中国电力科学研究院合作,建成配电系统物理仿真平台——动模测试系统(原型测试系统PRS)。目前两套系统在配电系统物理仿真平台建设和配电网接地故障模拟试验领域,均处于领先水平。 二、配电系统物理仿真平台 配电系统物理仿真平台能够真实再现电力系统的各种运行工况、能够真实模拟电力系统设备和线路的运行情况,为电力用户提供全方位的培训、仿真、研发平台,为配网自动化设备的检测提供了全新的解决方案。 配电系统物理仿真平台具备的功能主要包括:配电系统参数模拟、配电系统运行数据模拟、配电系统故障模拟、配网自动化设备测试、状态监视、数据采集、图形显示、事件告警、数据统计、录波分析等。 目前,仿真平台主要有3类,分别为380V配电系统物理仿真平台、10kV配电系统物理仿真平台和RTDS数字仿真平台,三种平台的对比如表 1所示。 表 1仿真平台对比表

三、380V配电系统物理仿真平台 1.系统规模 1)实验室要求:长10m,宽4m,面积40m2; 2)实验室分配:独立使用; 3)模拟35kV/10kV变电站1座、主变1台、10kV线路6条,系统如图 1所示; 4)户内柜体式,配置6面柜体,配置后台监控系统,按变电站规范设计,所有操作分远 方和就地,设备布置如图 2所示。 图 1380V配电系统物理仿真平台系统图 2.系统参数 1)系统供电电源:三相、380V、100A、50Hz; 2)系统电压:380V; 3)系统满负荷工作电流:10A; 4)线路短路电流(多匝线圈):800、1600A;

动力学模型

月球软着陆控制系统综合仿真及分析(课程设计) 在月球探测带来巨大利益的驱使下,世界各国纷纷出台了自己的探月计划,再一次掀起了新一轮探月高潮。在月球上着陆分为两种,一种称为硬着陆,顾名思义,就是探测器在接近月球时不利用制动发动机减速而直接撞击月球。另一种称为软着陆,这种着陆方式要求探测器在距月面一定高度时开启制动系统,把探测器的速度抵消至零,然后利用小推力发动机把探测器对月速度控制在很小的范围内,从而使其在着陆时的速度具有几米每秒的数量级。显然,对于科学研究,对探测器实施月球软着陆的科学价值要大于硬着陆。 1月球软着陆过程分析 目前月球软着陆方式主要有以下两种方式: 第一种就是直接着陆的方式。探测器沿着击中轨道飞向月球,然后在适当的月面高度实施制动减速,最终使探测器软着陆于月球表面。采用该方案时,探测器需要在距离目标点很远时就选定着陆点,并进行轨道修正。不难发现,该方法所选的着陆点只限于月球表面上接近轨道能够击中的区域,所以能够选择的月面着陆点的区域是相当有限的。 第二种方法就是先经过一条绕月停泊轨道,然后再伺机制动下降到月球表面,如图17-1所示。探测器首先沿着飞月轨道飞向月球,在距月球表面一定高度时,动力系统给探测器施加一制动脉冲,使其进入一条绕月运行的停泊轨道;然后根据事先选好的着陆点,选择霍曼变轨起始点,给探测器施加一制动脉冲,使其进入一条椭圆形的下降轨道,最后在近月点实施制动减速以实现软着陆。 主制动段 开始点 图17-1 月球软着陆过程示意图 与第一种方法相比,第二种方法有以下几个方面较大的优越性: 1)探测器可以不受事先选定着陆点的约束,可以在停泊轨道上选择最佳的着陆点,具有很大的选择余地。

PCB仿真概述

随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,人们对通信需求的不断提高,要求信号的传输和处理的速度越来越快,相应的高速PCB的应用也越来越广,设计也越来越复杂。高速电路有两个方面的含义,一是频率高,通常认为数字电路的频率达到或是超过45MHZ 至50MHZ,而且工作在这个频率之上的电路已经占到了整个系统的三分之一,就称为高速电路;二是从信号的上升与下降时间考虑,当信号的上升时小于6倍信号传输延时时即认为信号是高速信号,此时考虑的与信号的具体频率无关。高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自己的经验去布线,会顾此失彼,造成研发周期过长,浪费财力物力,生产出来的产品不稳定。 高速电路设计在现代电路设计中所占的比例越来越大,设计难度也越来越高,它的解决不仅需要高速器件,更需要设计者的智慧和仔细的工作,必须认真研究分析具体情况,解决存在的高速电路问题。一般说来主要包括三方面的设计:信号完整性设计、电磁兼容设计、电源完整性设计。 在电子系统与电路全面进入1GHz以上的高速高频设计领域的今天,在实现VLSI芯片、PCB和系统设计功能的前提下具有性能属性的信号完整性问题已经成为电子设计的一个瓶颈。从广义上讲,信号完整性指的是在高速产品中有互连线引起的所有问题,它主要研究互连线与数字信号的电压电流波形相互作用时其电气特性参数如何影响产品的性能。 传统的设计方法在制作的过程中没有仿真软件来考虑信号完整性问题,产品首次成功是很难的,降低了生产效率。只有在设计过程中融入信号完整性分析,才能做到产品在上市时间和性能方面占优势。对于高速PCB设计者来说,熟悉信号完整性问题机理理论知识、熟练掌握信号完整性分析方法、灵活设计信号完整性问题的解决方案是很重要的,因为只有这样才能成为21世纪信息高速化的成功硬件工程师。 信号完整性的研究还是一个不成熟的领域,很多问题只能做定性分析,为此,在设计过程中首先要尽量应用已经成熟的工程经验;其次是要对产品的性能做出预测和评估以及仿真。在设计过程中可以不断积累分析能力,不断创新解决信号完整性的方法,利用仿真工具可以得到检验。 第二章:Candence Allegro PCB简介

PCB设计基本概念与主要流程

印制电路板的设计是以电路原理图为根据,实现电路设计者所需要的功能。印刷电路板的设计主要指版图设计,需要考虑外部连接的布局。内部电子元件的优化布局。金属连线和通孔的优化布局。电磁保护。热耗散等各种因素。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。简单的版图设计可以用手工实现,复杂的版图设计需要借助计算机辅助设计(CAD)实现。 目录 PCB设计简介 具体方法 PCB设计基本概念 PCB设计主要的流程 PCB设计简介 具体方法 PCB设计基本概念 PCB设计主要的流程 展开 编辑本段PCB设计简介 在高速设计中,可控阻抗板和线路的特性阻抗是最重要和最普遍的问题之一。首先了解一下传输线的定义:传输线由两个具有一定长度的导体组成,一个导体用来发送信号,另一个用来接收信号(切记“回路”取代“地”的概念)。在一个多层板中,每一条线路都是传输线的组成部分,

邻近的参考平面可作为第二条线路或回路。一条线路成为“性能良好”传输线的关键是使它的特性阻抗在整个线路中保持恒定。 线路板成为“可控阻抗板”的关键是使所有线路的特性阻抗满足一个规定值,通常在25欧姆和70欧姆之间。在多层线路板中,传输线性能良好的关键是使它的特性阻抗在整条线路中保持恒定。 但是,究竟什么是特性阻抗?理解特性阻抗最简单的方法是看信号在传输中碰到了什么。当沿着一条具有同样横截面传输线移动时,这类似图1所示的微波传输。假定把1伏特的电压阶梯波加到这条传输线中,如把1 伏特的电池连接到传输线的前端(它位于发送线路和回路之间),一旦连接,这个电压波信号沿着该线以光速传播,它的速度通常约为6英寸/纳秒。当然,这个信号确实是发送线路和回路之间的电压差,它可以从发送线路的任何一点和回路的相临点来衡量。图2是该电压信号的传输示意图。 Zen的方法是先“产生信号”,然后沿着这条传输线以6英寸/纳秒的速度传播。第一个0.01纳秒前进了0.06英寸,这时发送线路有多余的正电荷,而回路有多余的负电荷,正是这两种电荷差维持着这两个导体之间的1伏电压差,而这两个导体又组成了一个电容器。 在下一个0.01纳秒中,又要将一段0.06英寸传输线的电压从0调整到1伏特,这必须加一些正电荷到发送线路,而加一些负电荷到接收线路。每移动0.06英寸,必须把更多的正电荷加到发送线路,而把更多的负电荷加到回路。每隔0.01纳秒,必须对传输线路的另外一段进行充电,然后信号开始沿着这一段传播。电荷来自传输线前端的电池,当沿着这条线移动时,就给传输线的连续部分充电,因而在发送线路和回路之间形成了1伏特的电压差。每前进0.01纳秒,就从电池中获得一些电荷(±Q),恒定的时间间隔(±t)内从电池中流出的恒定电量(±Q)就是一种恒定电流。流入回路的负电流实际上与流出的正电流相等,而且正好在信号波的前端,交流电流通过上、下线路组成的电容,结束整个循环过程。 PCB(Printed Circuit Board)印刷电路板的缩写 编辑本段具体方法 1. 目的和作用 1.1 规范设计作业,提高生产效率和改善产品的质量。 2. 适用范围 1.1 XXX 公司开发部的VCD超级VCDDVD音响等产品。 3. 责任态度

多物理场仿真软件技术参数

多物理场仿真软件技术参数 一、技术规格要求(*必须满足) 1. 软件的功能需求 1.1 使用有限元算法。 1.2 具有多物理场(三个及以上)一次性同时求解的直接耦合功能。 1.3 图形化用户界面,预置前处理、求解器,以及后处理功能。 1.4 具有App 开发器。 1.5 具有热传递仿真功能。 1.6 具有结构力学仿真功能。 1.7 具有CFD 仿真功能。 1.8 具有与Excel 的双向调用功能。 1.9 具有几何建模功能。 1.10 具有半导体仿真功能。 1.11 具有波动光学仿真功能。 1.12 具有材料库功能。 1.13 具有案例模型。 2. 基本功能 2.1 所有数值计算均基于有限元方法。 2.2 任意指定多物理场耦合,并且可以一次性同时求解的直接耦合功能。 2.3 提供前处理器、求解器和后处理器。 2.4 提供图形化自定义偏微分方程接口(系数型、广义型、弱解型),不需要用户编写程序就可以求解自己的方程,并可以与预置的物理场接口耦合。 2.5 可以导入/导出数组文件、表格、文件等。 2.6 自带网格剖分功能,可以智能或者手动剖分网格,创建结构化和非结构化网 格。 3. 半导体仿真功能 3.1 可以仿真分析双极晶体管、金属半导体场效应晶体管 (MESFET)、金属氧化物半导 体场效应晶体管 (MOSFET)、绝缘栅双极晶体管 (IGBT)、肖特基二极管和 P-N 结等。 3.2 可以分析包含光跃迁来模拟诸如太阳能电池、发光二极管(LED) 以及光电二 极管等一系列器件。 3.3 可以求解电子和空穴的浓度以及伏安特性曲线。 4. 波动光学仿真功能 4.1 提供专用的工具来模拟线性和非线性光学介质中的电磁波传播,实现精确的元件仿 真和光学设计优化。 4.2 可以在光学结构中进行频域或时域的高频电磁波仿真。 4.3 可以进行特征频率模式分析、频域和时域电磁仿真。例如计算传输和反射系数。 5. 材料库功能 5.1 材料库中包含 2500 种材料的数据,包括化学元素、矿物、金属合金、热绝缘材料、半导体和压电材料等。 5.2 不仅可以绘制和检查这些函数的定义,而且还可以进行添加或更改。也可以在其他 依赖材料属性函数的物理场耦合中调用这些函数。 6. 几何建模功能 * * * * * * * * * * * * * * * * * * * * *

电源完整性与地弹噪声的高速PCB仿真

电源完整性与地弹噪声的高速PCB仿真 作者:Martin Vogel 和Brad Cole,Ansoft 公司使用基于电磁场分析的设计软件来选择退耦电容的大小及其放置位置可将电源平面与地平面的开关噪声减至最小。 随着信号的沿变化速度越来越快,今天的高速数字电路板设计者所遇到的问题在几年前看来是不可想象的。对于小于1纳秒的信号沿变化,PCB板上电源层与地层间的电压在电路板的各处都不尽相同,从而影响到IC芯片的供电,导致芯片的逻辑错误。为了保证高速器件的正确动作,设计者应该消除这种电压的波动,保持低阻抗的电源分配路径。 为此,你需要在电路板上增加退耦电容来将高速信号在电源层和地层上产生的噪声降至最低。你必须知道要用多少个电容,每一个电容的容值应该是多大,并且它们放在电路板上什么位置最为合适。一方面你可能需要很多电容,而另一方面电路板上的空间是有限而宝贵的,这些细节上的考虑可能决定设计的成败。 反复试验的设计方法既耗时又昂贵,结果往往导致过约束的设计从而增加不必要的制造成本。使用软件工具来仿真、优化电路板设计和电路板资源的使用情况,对于要反复测试各种电路板配置方案的设计来说是一种更为实际的方法。本文以一个xDSM(密集副载波多路复用)电路板的设计为例说明此过程,该设计用于光纤/宽带无线网络。软件仿真工具使用Ansoft的SIwave,SIwave基于混合全波有限元技术,可以直接从layout工具Cadence Allegro, Mentor Graphics BoardStation, Synopsys Encore和Zuken CR-5000 Board Designer导入电路板设计。图1是SIwave中该设计的PCB版图。由于PCB的结构是平面的,SIwave可以有效的进行全面的分析,其分析输出包括电路板的谐振、阻抗、选定网络的S参数和电路的等效Spice模型。 图1, SIwave中xDSM电路板的PCB版图,左边是两个高速总线,右边是三个Xilinx的FPGA。 xDSM电路板的尺寸,也就是电源层和地层的尺寸是11×7.2 英寸(28×18.3 厘米)。电源层和地层都是1.4mil厚的铜箔,中间被23.98mil厚的衬底隔开。 为了理解对电路板的设计,首先考虑xDSM电路板的裸板(未安装器件)特性。根据电路板上高速信号的上升时间,你需要了解电路板在频域直到2GHz范围内的特性。图2所示为一个正弦信号激励电路板谐振于0.54GHz时的电压分布情况。同样,电路板也会谐振于0.81GHz和0.97GHz以及更高的频率。为了更好地理解,你也可以在这些频率的谐振模式下仿真电源层与地层间电压的分布情况。 图2所示在0.54GHz的谐振模式下,电路板的中心处电源层和地层的电压差变化为零。对于一些更高频率的谐振模式,情况也是如此。但并非在所有的谐振模式下都是如此,例如在1.07GHz、1.64GHz和1.96 GHz的高阶谐振模式下,电路板中心处的电压差变化是不为零的。

PCB设计总结

PCB设计总结 、概述 PCB是一个连接电子元器件的载体。PCB设计是一个把原理设计上的电气连接变成实实在 在的,可用的线路连接。简单的PCB设计就是将器件的管脚按照一定的需要连通,但对于 高速,高密度的PCB设计,涉及到很多的方面,包括结构方面,信号完整性,EMC,EMI, 电源设计,加工工艺方面等等。 、布局 1材料 PCB材料很多,我们目前使用的基本都是FR4的,TG参数(高耐热性)是一个很重要的指 标,一般结构工程师会在他们提供的cutout里面给出TG参数的要求。 2合理的层数安排 一块板PCB层数多少合适,要基于生产成本和信号质量需求两方面考虑。对于速度低,密度小的板块,可以考虑层数少些,对于高速,高密度板,要尽可能多的安排完整的电地层,以保证较好的信号质量。 3电源层和地层 3.1、电源层和地层的作用和区别 电源层和地层都可以作为参考平面,在一定程度上来说他们是一样的。但是,相对来说,电源平面的特性阻抗较高,与参考平面存在较大的电位势差。而地平面作为地基准,地平面的屏蔽作用要远远好于电源屏幕,对于重要信号,最好选择地平面作为参考屏幕。 3.2、电源层,信号层,地层位置 A、第二层为地层,用于屏蔽器件(如果有更重要的信号需要地,可以进行调整) B、所有信号层都有参考平面。 C、最好不要相邻信号层,有的话,要安排信号走向为垂直方向。 D、关键信号参考平面为完整的地平面不跨分割区。

3.3、几种常用的板子的叠层方案 四层版 BOT 在该方案中表层具有较好的信号质量,对器件也有较好的屏蔽,使电源层和地层距离适当拉近,可以降低电源地的分布阻抗,保证电源地的去耦效果。 其它一些方案参考 paul wang发的一份emc规范。

PCB设计与信号完整性仿真

本人技术屌丝一枚,从事PCB相关工作已达8年有余,现供职于世界闻名的首屈一指的芯片设计公司,从苦逼的板厂制板实习,到初入Pcblayout,再到各种仿真的实战,再到今天的销售工作,一步一步一路兢兢业业诚诚恳恳,有一些相关领悟和大家分享。买卖不成也可交流。 1.谈起硬件工作,是原理图,pcb,码农的结合体,如果你开始了苦逼的pcblayout工作,那么将是漫长的迷茫之路,日复一日年复一年,永远搞不完的布局,拉线。眼冒金星不是梦。最多你可以懂得各种模块的不同处理方式,各种高速信号的设计,但永远只能按照别人的意见进行,毫无乐趣。 2.谈起EDA相关软件,形象的说,就普通的PROTEL/AD来说你可能只有3-6K,对于pads 可能你有5-8K,对于ALLEGRO你可能6-10K,你会哀叹做的东西一样,却同工不同酬,没办法这就是市场,我们来不得无意义的抱怨。 3.众所周知,一个PCB从业者最好的后路就是仿真工作,为什么呢?一;你可以懂得各种模块的设计原则,可以优化不准确的部分,可以改善SI/PI可以做很多,这往往是至关重要的,你可以最大化节约成本,减少器件却功效相同;二;从一个pcblayout到仿真算是水到渠成,让路走的更远; 三:现实的说薪资可以到达11-15K or more,却更轻松,更有价值,发言权,你不愿意吗? 现在由于本人已技术转销售,现在就是生意人了哈哈,我也查询过各种仿真资料我发现很少,最多不过是Mentor Graphics 的HyperLynx ,candense的si工具,

但是他们真的太low了,精确度和完整性根本不能保证,最多是定性的能力,无法定量。真正的仿真是完整的die到die的仿真,是完整的系统的,是需要更高级的仿真软件,被收购的xxsigrity,xx ansys,hspicexx,adxx等等,这些软件才是真正的仿真。 本人提供各种软件及实战代码,例子,从基本入门到高级仿真,从电源仿真,到ddr仿真到高速串行仿真,应有尽有,,完全可以使用,想想以后的高薪,这点投入算什么呢?舍不得孩子套不住狼哦。 所有软件全兼容32位和64位系统。 切记本人还提供学习手册,你懂的,完全快速进入仿真领域。你懂的! 希望各位好好斟酌,自己的路是哪个方向,是否想更好的发展,舍得是哲学范畴,投资看得是利润的最大化,学会投资吧,因为他值得拥有,骚年! 注:本人也可提供培训服务,面面俱到,形象具体,包会! 有购买和学习培训兴趣的请联系 QQ:2941392162

allegro_PCB_SI仿真

随着微电子技术和计算机技术的不断发展,信号完整性分析的应用已经成为解决高速系统设计的唯一有效途径。借助功能强大的Cadence公司SpecctraQuest 仿真软件,利用IBIS模型,对高速信号线进行布局布线前信号完整性仿真分析是一种简单可行行的分析方法,可以发现信号完整性问题,根据仿真结果在信号完整性相关问题上做出优化的设计,从而缩短设计周期。 本文概要地介绍了信号完整性(SI)的相关问题,基于信号完整性分析的PCB 设计方法,传输线基本理论,详尽的阐述了影响信号完整性的两大重要因素—反射和串扰的相关理论并提出了减小反射和串扰得有效办法。讨论了基于SpecctraQucst的仿真模型的建立并对仿真结果进行了分析。研究结果表明在高速电路设计中采用基于信号完整性的仿真设计是可行的, 也是必要的。 【关键字】 高速PCB、信号完整性、传输线、反射、串扰、仿真 Abstract With the development of micro-electronics technology and computer technology,application of signal integrity analysis is the only way to solve high-speed system design. By dint of SpecctraQuest which is a powerful simulation software, it’s a simple and doable analytical method to make use of IBIS model to analyze signal integrity on high-speed signal lines before component placement and routing. This method can find out signal integrity problem and make optimization design on interrelated problem of signal integrity. Then the design period is shortened. In this paper,interrelated problem of signal integrity, PCB design based on signal integrity, transmission lines basal principle are introduced summarily.The interrelated problem of reflection and crosstalk which are the two important factors that influence signal integrity is expounded. It gives effective methods to reduce reflection and crosstalk. The establishment of emulational model based on SpecctraQucst is discussed and the result of simulation is analysed. The researchful fruit indicates it’s doable and necessary to adopt emulational design based on signal integrity in high-speed electrocircuit design.

PCB设计经典资料中

PCB经典设计资料(中) 本文将接续介绍电源与功率电路基板,以及数字电路基板导线设计。 宽带与高频电路基板导线设计 a.输入阻抗1MHz,平滑性(flatness)50MHz的OP增幅器电路基板 图26是由FET输入的高速OP增幅器OPA656构成的高输入阻抗OP增幅电路,它的gain取决于R1、R2,本电路图的电路定数为2倍。 此外为改善平滑性特别追加设置可以加大噪讯gain,抑制gain-频率特性高频领域时峰值的R3。 图26 高输入阻抗的宽带OP增幅电路 图27是高输入阻抗OP增幅器的电路基板图案。降低高速OP增幅器反相输入端子与接地之间的浮游容量非常重要,所以本电路的浮游容量设计目标低于0.5pF。 如果上述部位附着大浮游容量的话,会成为高频领域的频率特性产生峰值的原因,严重时频率甚至会因为feedback阻抗与浮游容量,造成feedback信号的位相延迟,最后导致频率特性产生波动现象。 此外高输入阻抗OP增幅器输入部位的浮游容量也逐渐成为问题,图27的电路基板图案的非反相输入端子部位无full ground设计,如果有外部噪讯干扰之虞时,接地可设计成网格状(mesh)。 图28是根据图26制成的OP增幅器Gain-频率特性测试结果,由图可知即使接近50MHz 频率特性非常平滑,-3dB cutoff频率大约是133MHz。

图27 高输出入阻抗OP增幅器的电路基板图案 图28 根据图26制成的OP增幅器Gain-频率 b. 可发挥50MH z~6GHz宽带增幅特性的电路基板图案 图29是由单芯片微波(MMIC: Monolithic Micro wave device)集成电路NBB-310(RFMicro Devices)构成的频宽50MHz~6GHz宽带高频增幅器,NBB-310高频组件采用AlGaAs HBT 制程制作,因此可靠性相当高。 使用MMIC的增幅器时,必需搭配适合的电路基板图案阻抗与组件,例如耦合电容、高频扼流圈(choke)、线圈(coil)(以下简称为RFC)时,才能发挥组件具有的功能。如NBB-310技术数据的记载,偏压(bias)电流只需利用电阻与RFC即可,不过本电路使用复合型晶体管构成的current mirror电路,加上NBB-310输出脚架的直流电压Level,会随着高频输入电力Level的变化,使用上述电阻与RFC简易偏压电路的话,输入电力变时输出脚架的直流电压会降低,NBB-310可能会有过电流流动之虞,所以偏压电路使用current mirror电路,藉此

中外主流PCB设计软件大盘点

中外主流PCB设计软件大盘点 PCB设计工作的开展,是一项十分漫长的工作。在进行PCB设计时,首当其冲地是选择设计软件,没有完美无缺的PCB设计软件,关健是找到一种适合自己的工具,能很快、很方便的完成自己的设计工作。当然,在自己日常使用当中,对不同的工作任务,有必要选择不同的设计软件,甚至多种软件协同设计。本文将给大家介绍PCB设计工具,供大家参考。 PCB(Printed Circuit Board),中文名称为印制电路板,又称印刷电路板、印刷线路板,是重要的电子部件,是电子元器件的支撑体,是电子元器件电气连接的提供者。自从人类第一次连接碳片和硅片形成可工作电子产品以来PCB一直是电子行业的支柱。PCB设计从开始的手工绘制到现在越大规模元件库,强大自动布局布线等功能,越来越方便我们工程师进行线路板设计工作。PCB设计具体的可以分为几个部分的,即原理图设计、PCB layout、电路模拟仿真、CAM工程软件、抄板软件等。在PCB设计软件中,一般都包含了原理图设计和PCB 设计两大模块,一些强大的PCB设计软件甚至将以上的模块都包括在内。本文当中,我们主要讲的PCB设计软件,指的是原理图设计和PCB layout这两部分。 每个产业之所以会盛兴衰败都一定有它的时空背景存在,PCB产业发展到目前为止也是有一段历史的轨迹可循。从开始的众家厂商在自己擅长的领域发展,到后期不断地修改和完善,或优存劣汰、或收购兼并、或强强联合,现在在国内被人们熟知的厂商屈指可数:Altium、Cadence、Mentor、Zuken、Cadsoft以及国产的上海青越等。下面将分厂家对目前的主流PCB 软件进行介绍。 1 Altium Protel 系列 衡量一个软件的优劣,其中一个很现实的标准就是看它的市场占有率,也就是它的普及和流行程度,那么Altium Protel当之无愧地排在众多PCB设计软件的前面。Protel系列,较早就在国内开始使用,基本上所有高校的电子专业都开设相关课程,甚至许多大公司在招聘电子设计人才时在其条件栏上常会写着要求会使用PROTEL。Altium曾声称中国有73%的工程师和80%的电子工程相关专业在校学生正在使用其所提供的解决方案,而目前正版率只有3%左右。当然,关于触目惊心的盗版问题,e-works也深表痛心,确实目前也没找到较好的规避方案,但是可以看出该软件在国内应用的广泛性。 图1 Altum Designer Protel是PROTEL(现为Altium)公司在1985年推出的PCB设计软件,从最初的Protel fo DOS,再升级为Protel for Windows,然后在1998年,推出protel 98,在1999年推出了划

汽车动力学仿真模型的发展

!汽车动力学发展历史简介 汽车动力学是伴随着汽车的出现而发展起来的 一门专业学科。人们很早就认识到“$%&’()*+”转向和应用弹性悬架可使乘客感到更加舒适等基本原 理[,],但那只是一种感性的认识。在各国学者的不懈 努力下,这门学科逐渐发展成熟。-’.’/在,00#年1)’%23举行的题为“车辆平顺性和操纵稳定性”的会议上发表的论文,对,00"年以前汽车动力学的发 展做了较为全面的总结[ !],见表,。近年来汽车动力学又有了进一步发展,大量的高水平学术论文和经典的汽车动力学专著相继被发表,而且开发出许多专为汽车动力学研究建立模型的软件,如美国密西根大学开发的$456%*(、$45678)等商业软件。汽车是一复杂的连续体系统,要想对其进行动力特性的预测和优化需建立经合理简化的抽象汽车模型,以达到缩短产品开发周期、保证整车性能指标和降低产品成本的目的。 "汽车动力学模型的发展 汽车动力学从严格意义上来讲包括对一切与车 辆系统相关运动的研究,然而最为核心的是平顺性和操纵稳定性这两大领域,一般认为平顺性主要研究影响车身的垂向跳跃、俯仰、侧倾振动的因素,而操纵稳定性主要研究车辆的横向、横摆和侧倾运动。建模时一般假设平顺性和操纵稳定性之间无偶合关系。 "#!汽车平顺性模型 在汽车平顺性的早期研究阶段,限于当时数学、 力学理论、计算手段及试验方法,把系统简化成集中质量—弹簧—阻尼模型,如图,所示。 图,整车集中质量—弹簧—阻尼模型 此类模型一般先以函数的形式给出其动能!和势能"以及表达系统阻尼性质的物理量耗散能 !的表达式: 【摘要】汽车动力学包括对一切与车辆系统相关运动的研究,其最核心的是平顺性和操纵稳定性这两大领域。在简要说明了汽车动力学发展过程的基础上介绍了平顺性和操纵稳定性两大领域的模型发展过程。平顺性模型主要经过集中质量—弹簧—阻尼模型、有限元模型和动态子结构模型阶段;而操纵稳定性模型从低自由度线性模型、非线性多自由度模型发展到多体模型。最后提出了汽车动力学仿真模型的发展动向。 主题词:汽车动力学模型发展 中图分类号:9:;,<,文献标识码:$ 文章编号:,"""=#>"#(!""#)"!=""",=": $%&%’()*%+,(-.%/01’%$2+3*0140*5’3,0(+6(7%’ ?2*+.@’8A?2*+.B8+.2*8AC48D*8/8+AB8*D6+.E’8 (B8/8+9+8F’(785G ) 【89:,;31,】H’28%/’IG+*)8%7754I8’7*//)6F’)’+57(’/’F*+556F’28%/’7G75’)*+I 857%6(’8752’5J6E8’/I76E (8I’K *L8/85G *+I 2*+I/8+.75*L8/85G<1+52’M*M’(AI’F’/6M8+.M(6%’776E )6I’/76E F’28%/’(8I’*L8/85G *+I 2*+I/8+.75*L8/85G *(’8+K 5(6I4%’I *E5’(I’F’/6M)’+5%64(7’6E F’28%/’IG+*)8%78778)M/G 8+5(6I4%’I

PCB设计指导概述(doc 11页)

PCB设计指导概述(doc 11页)

PCB设计指引 1. 目的和作用 1.1 规范设计作业,提高生产效率和改善产品的质量。 2. 适用范围 1.1 XXX公司开发部的VCD、超级VCD、DVD、音响等产品。 3. 责任 3.1 XXX开发部的所有电子工程师、技术员及电脑绘图员等。 4. 资历和培训 4.1 有电子技术基础; 4.2 有电脑基本操作常识; 4.3 熟悉利用电脑PCB绘图软件. 5. 工作指导(所有长度单位为MM) 5.1 铜箔最小线宽:单面板0.3MM,双面板0.2MM,边缘铜箔最小要1.0MM 5.2 铜箔最小间隙:单面板:0.3MM,双面板:0.2MM. 5.3 铜箔与板边最小距离为0.5MM,元件与板边最小距离为5.0MM,焊盘与板边最小距离为4.0MM。 5.4 一般通孔安装元件的焊盘的大小(直径)为孔径的两倍,双面板最小为1.5MM,单面板最小为2.0MM,建议(2.5MM)。如果不能用圆形焊盘,可用腰圆形焊盘,大小如下图所示(如有标准元件库,则以标准元件库为准): 焊盘长边、短边与孔的关系为: a B c 0.6 2.8 1.27

0.7 2.8 1.52 0.8 2.8 1.65 0.9 2.8 1.74 1.0 2.8 1.84 1.1 2.8 1.94 5.5 电解电容不可触及发热元件,如大功率电阻,热敏电阻,变压器,散热器等.电解电容与散热器的间隔最小为10.0MM,其它元件到散热器的间隔最小为2.0MM. 5.6 大型元器件(如:变压器、直径15.0MM以上的电解电容、大电流的插座等)加大铜箔及上锡面积如下图;阴影部分面积肥最小要与焊盘面积相等。 5.7 螺丝孔半径5.0MM内不能有铜箔(除要求接地外)及元件.(或按结构图要求). 5.8 上锡位不能有丝印油. 5.9 焊盘中心距小于2.5MM的,该相邻的焊盘周边要有丝印油包裹,丝印油宽度 为0.2MM(建议0.5MM). 5.10 跳线不要放在IC下面或马达、电位器以及其它大体积金属外壳的元件下. 5.11 在大面积PCB设计中(大约超过500CM2以上),为防止过锡炉时PCB板弯曲,应在PCB板中间留一条5至10MM宽的空隙不放元器件(可走线),以用来在过锡炉时加上防止PCB板弯曲的压条,如下图的阴影区: 5.12 每一粒三极管必须在丝印上标出e,c,b脚.

相关文档
最新文档