触发器及其应用

触发器及其应用
触发器及其应用

院系电子信息工程学院班级姓名学号

实验名称触发器及其应用实验日期

一、实验目的

1. 掌握基本D触发器的逻辑功能。

2. 掌握集成触发器的使用方法和逻辑功能的测试方法。

二、实验原理

触发器具有两个稳定状态,用以表示逻辑状态“l”和”“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。

在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为

Q n+1=D n

其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D(74LS74,CD4013),四D(74LS175,CD4042),六D(74LS174 CDl4174),八D(74LS374)等。图为双D74LS74的引脚排列和逻辑符号。

74LS74引脚排列及逻辑符号

指导教师签名

院系电子信息工程学院班级姓名学号

实验名称触发器及其应用实验日期

三、实验设备与器件

l. +5V直流电源 2.双踪示波器

3.连续脉冲源 4.单次脉冲源

5.逻辑电平开关 6.0-1指示器

7.74LS00(或CD4011)

74LS74(或CD4013)

四、实验预习要求

1.复习有关触发器内容

触发器的电路图由逻辑门组合而成,其结构均

由R-S锁存器派生而来(广义的触发器包括锁存器)。触

发器可以处理输入、输出信号和时钟频率之间的相互影响。

在R-S锁存器的前面加一个由两个与门和一个非门构

成的附加电路,则构成D触发器。当时钟脉冲CP为1时,

读入输入端D的数据并传至输出端;当CP为0时,根据与

门“只要有一个输入端为0则输出为0”的特性,输入端D

的数据被与门屏蔽了,无法到达输出端,不管输入D怎样

变化,Q端输出值都保持不变,只有等到下一个CP高电平

到来时,才会把当前的D值送出。这样就实现了延迟输出

即暂时保存的功能。从电路的动作可以看出,时钟输入端起到控制的作用,CP为1时,能触发后面的锁存器把D的值暂时锁存起来,这也正是触发器名词中“触发”的含义,这正是触发器与锁存器的联系与区别:触发器利用了锁存器的保存原理,但是加上了触发功能,可以控制保存的时间。

2.按实验内容要求设计线路,拟定实验方案。

指导教师签名

院系

电子信息工程学院班级姓名学号

实验名称触发器及其应用实验日期

五、实验内容

1.测试D触发器的逻辑功能

按表要求进行测试,并观察触发器状态更新是否发生在CP脉冲的,上升沿(即由0→1),记录之。

D CP Q n+1

Q n=0 Q n=1

0 0→1 1 0

1→0 0 1

1 0→1 1 1

1→0 0 0

(2)将D触发器构成分频器,通过示波器观察。

指导教师签名

实验五 触发器操作实验

实验五触发器操作实验 一、实验目的和要求 1、掌握SQL Server中的触发器的使用方法; 二、实验内容和步骤 1、在学生表student上建立一个DELETE类型的触发器tr_delete,触发动作是显示信息“已删除学生表中的数据”。 2、创建名为为tr_delete1的触发器,要求实现如下功能:当删除课程表course中某一门课程的记录时,级联删除成绩表sc中有关此课程的记录。 3、创建名为tr_delete2的触发器,要求实现如下功能:当修改课程表course中某一门课程的课程号时,级联修改成绩表sc中有关此课程的课程号。 4、创建名为tr_delete3的触发器,要求如下:当同时修改student表中的姓名和性别字段时,提示用户“不能同时修改姓名和性别字段”,并撤销此次修改操作。 5、在学生表student上建立一个名为tr_sno的触发器。该触发器将被操作update所激活,将不允许用户修改表的sno字段。 二、实验环境 1.Windows7+SQL 三、调试过程 1.删除出错(级联删除) 修改:(删除sc表外键数据后再删student表)

2.更新出错(级联更新) 修改: 四、实验结果 1.

2. 3.

4. 5.

五、总结 通过此次实验: 1.本人加深对SQL和Transact-SQL语言的查询语句的理解; 2.简单了解了触发器的使用; 3.对级联删除有了再一步的理解,但是对于级联更新的处理还是不够,例如第五题依 旧没解决调试出现的约束冲突问题 六、附录 /************************************************************ 1、在学生表student上建立一个DELETE类型的触发器tr_delete,触发动作是显示信息“已删除学生表中的数据”。 *************************************************************/ create trigger tr_delete on Student after delete as begin print'已删除学生表中的数据' end delete from sc where sno='95011' delete from student where sno='95011' drop trigger tr_delete insert into student values('95011','王一鸣',20,'男','计算机系','福州市') insert into sc(sno,cno,grade)

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

施密特触发器工作原理

使用CMOS集成电路需注意的几个问题 集成电路按晶体管的性质分为TTL和CMOS两大类,TTL以速度见长,CMOS以功耗低而著称,其中CMOS电路以其优良的特性成为目前应用最广泛的集成电路。在电子制作中使用CMOS集成电路时,除了认真阅读产品说明或有关资料,了解其引脚分布及极限参数外,还应注意以下几个问题: 1、电源问题 (1)CMOS集成电路的工作电压一般在3-18V,但当应用电路中有门电路的模拟应用(如脉冲振荡、线性放大)时,最低电压则不应低于4.5V。由于CMOS集成电路工作电压宽,故使用不稳压的电源电路CMOS集成电路也可以正常工作,但是工作在不同电源电压的器件,其输出阻抗、工作速度和功耗是不相同的,在使用中一定要注意。 (2)CMOS集成电路的电源电压必须在规定围,不能超压,也不能反接。因为在制造过程中,自然形成许多寄生二极管,如图1所示为反相器电路,在正常电压下,这些二极管皆处于反偏,对逻辑功能无影响,但是由于这些寄生二极管的存在,一旦电源电压过高或电压极性接反,就会使电路产生损坏。 2、驱动能力问题 CMOS电路的驱动能力的提高,除选用驱动能力较强的缓冲器来完成之外,还可将同一个芯片几个同类电路并联起来提高,这时驱动能力提高到N倍(N为并联门的数量)。如图2所示。 3、输入端的问题 (1)多余输入端的处理。CMOS电路的输入端不允许悬空,因为悬空会使电位不定,破坏正常的逻辑关系。另外,悬空时输入阻抗高,易受外界噪声干扰,使电路产生误动作,而且也极易造成栅极感应静电而击穿。所以“与”门,“与非”门的多余输入端要接高电平,“或”门和“或非”门的多余输入端要接低电平。若电路的工作速度不高,功耗也不需特别考虑时,则可以将多余输入端与使用端并联。 (2)输入端接长导线时的保护。在应用中有时输入端需要接长的导线,而长输入线必然有较大的分布电容和分布电感,易形成LC振荡,特别当输入端一旦发生负电压,极易破坏CMOS中的保护二极管。其保护办法为在输入端处接一个电阻,如图3所示,R=VDD/1mA。 (3)输入端的静电防护。虽然各种CMOS输入端有抗静电的保护措施,但仍需小心对待,在存储和运输中最好用金属容器或者导电材料包装,不要放在易产生静电高压的化工材料或化纤织物中。组装、调试时,工具、仪表、工作台等均应良好接地。要防止操作人员的静电干扰造成的损坏,如不宜穿尼龙、化纤衣服,手或工具在接触集成块前最好先接一下地。对器件引线矫直弯曲或人工焊接时,使用的设备必须良好接地。 (4)输入信号的上升和下降时间不易过长,否则一方面容易造成虚假触发而导致器件失去正常功能,另一方面还会造成大的损耗。对于74HC系列限于0.5us以。若不满足此要求,需用施密特触发器件进行输入整形,整形电路如图4所示。 (5)CMOS电路具有很高的输入阻抗,致使器件易受外界干扰、冲击和静电击穿,所以为了保护CMOS管的氧化层不被击穿,一般在其部输入端接有二极管保护电路,如图5所示。 其中R约为1.5-2.5KΩ。输入保护网络的引入使器件的输入阻抗有一定下降,但仍在108Ω以上。这样也给电路的应用带来了一些限制: (A)输入电路的过流保护。CMOS电路输入端的保护二极管,其导通时电流容限一般为1mA在可能出现过大瞬态输入电流(超过10mA)时,应串接输入保护电阻。例如,当输入端接的信号,其阻很小、或引线很长、或输入电容较大时,在接通和关断电源时,就容易产生较大的瞬态输入电流,这时必须接输入保护电阻,若VDD=10V,则取限流电阻为10KΩ即可。 (B)输入信号必须在VDD到VSS之间,以防二极管因正向偏置电流过大而烧坏。因此在

实验六 触发器实验报告

实验五 触发器实验报告 [实验目的] 1. 理解Oracle 触发器的种类和用途 2. 掌握行级触发器的编写 [预备知识] 1. PL/SQL 程序设计 [实验原理] 1. 建立触发器 CREA TE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDA TE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表 触发器事件指定了影响表的 SQL DML 语句 ( INSERT 、 DELETE 或 UPDA TE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发 默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器) 要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREA TE 语句以自动删除和重建触发器 2. 行级触发器中引用表数据 在行级触发器中,使用伪记录来表示旧数据:old 和新数据:new 引用示例::new.customer_name, :old.customer_name 3. 行级触发器中的谓词 在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:触发事件 :old :new Insert 无定义,所有字段都是NULL 该语句完成后插入的值 Update 更新前该行的旧值 更新后该行的值 Delete 删除前该行的值 无定义,所有字段

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

实验五 存储过程和触发器的定义和使用3

实验五存储过程和触发器的定义和使用 一、实验目的 1、掌握局部变量、全局变量、流程控制语句的使用方法 2、了解存储过程的类型和作用,并掌握使用对象资源管理器和Transact-SQL语句创建 存储过程的方法及使用方法。 3、理解触发器的特点和作用,并掌握使用Transact-SQL语言创建触发器的方法 二、实验内容 1.在学生成绩库中中有如下各表: 学生表(Student) 学号姓名性别出生日期专业所在系联系电话020101杨颖01980-7-20计算机应用计算机88297147 020102方露露01981-1-15信息管理计算机88297147 020103俞奇军11980-2-20信息管理计算机88297151 020104胡国强11980-11-7信息管理计算机88297151 020105薛冰11980-7-29水利工程水利系88297152 020201秦盈飞01981-3-10电子商务经济系88297161 020202董含静01980-9-25电子商务经济系88297062 020203陈伟11980-8-7电子商务经济系88297171 020204陈新江11980-7-20房建水利系88297171 create database学生成绩数据库 create table Student ( 学号Char(6)not null, 姓名Char(8)not null, 性别Bit not null, 出生日期smalldatetime, 专业Char(10), 所在系Char(10), 联系电话Char(11)null ) 课程表(Course) 课程号课程名教师开课学期学时学分 101计算机原理陈红2453 102计算方法王颐3453 103操作系统徐格2604 104数据库原理及应用应对刚3755 105网络基础吴江江4453 106高等数学孙中文1906 107英语陈刚1906 108VB程序设计赵红韦3705

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

施密特触发器的特性和应用

施密特触发器的特性和应用 施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。见图6-2: 解释:当输入信号Vi减小至低于负向阀值V-时,输出电压Vo翻转为高电平Vo H;而输入信号Vi增大至高于正向阀值V+时,输出电压Vo才翻转为低电平VoL。这种滞后的电压传输特性称回差特性,其值V+-V-称为回差电压。 一、用555定时器构成的施密特触发器 1.电路组成: 将555定时器的阀值输入端Vi1(6脚)、触发输入端Vi2(2脚)相连作为输入端Vi,由Vo(3脚)或Vo’(7脚)挂接上拉电阻Rl及电源VDD作为输出端,便构成了如图6-3所示的施密特触发器电路。

2.工作原理: 如图所示,输入信号Vi,对应的输出信号为Vo,假设未接控制输入Vm 。 ①当Vi=0V时,即Vi1<2/3Vcc、Vi2<1/3Vcc,此时Vo=1。以后Vi逐渐上升,只要不高于阀值电压(2/3Vcc),输出Vo维持1不变。 ②当Vi上升至高于阀值电压(2/3Vcc)时,则Vi1>2/3Vcc、Vi2>1/3Vcc,此时定时器状态翻转为0,输出Vo=0,此后Vi继续上升,然后下降,只要不低于触发电位(1/3Vcc),输出维持0不变。 ③当Vi继续下降,一旦低于触发电位(1/3Vcc)后,Vi1<2/3Vcc、Vi2<1/3V cc,定时器状态翻转为1,输出Vo=1。 总结:未考虑外接控制输入Vm时,正负向阀值电压 V+=2/3Vcc、V- =1/3Vcc,回差电压△V=1/3Vcc。若考虑Vm,则正负向阀值电压V+=Vm、V-=1/2Vm,回差电压△V=1/2Vm。由此,通过调节外加电压Vm可改变施密特触发器的回差电压特性,从而改变输出脉冲的宽度。 二、施密特触发器的应用举例 1.波形变换: 施密特触发器可用以将模拟信号波形转换成矩形波,如图6-4所示将正弦波信号同相转换成矩形波的例子,输出脉冲宽度tpo可通过回差电压加以调节。

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

实验五-触发器和存储过程

实验五:触发器和存储过程 一.实验目的:理解触发器和存储过程的含义,掌握用SQL语句实现触发器和存储过程的编写,并初步掌握什么情况下使用事务。 二.实验内容: 有一个小型的图书管理数据库,包含的表为: bookstore(bookid,bookname,bookauthor,purchasedate,state);--图书库存表 borrowcard(cardid,ownername);--借书证表 borrowlog(cardid,bookid,borrowdate,returndate);--借书记录表 写一个存储过程,实现借书操作,要求有事务处理。(1)读者借书,要先设置书籍不在库标志state(借出),然后增加借书记录,在同一事务中完成。(2)要求在事务执行过程中引入错误触发事件,以此体会事务的错误保护机制和事务编程的作用。(3)要求用触发器实现表的完整性控制。 三、操作与运行 1.创建图书数据库: create table bookstore (bookid int not null primary key, bookname char(20),

bookauthor char(20), purchasedate datetime, state char(4) ) create table borrowcard (cardid int not null primary key, ownername char(20) ) create table borrowlog (cardid int not null, bookid int not null, borrowdate datetime, returndate datetime, primary key(cardid,bookid), ---foreign key(cardid)references borrowcard(cardid), ---foreign key(bookid)references bookstore(bookid) ) 通过以上语句,可以看到数据库中的表建立成功。 2.创建存储过程: create proc book_borrow @mycardid_in int, @mybookid_in int,

存储过程和触发器(数据库实验5)

数据库基础与实践实验报告实验五存储过程和触发器 班级:惠普测试142 学号:1408090213 姓名:闫伟明 日期:2016-11-14

1 实验目的: 1)掌握SQL进行存储过程创建和调用的方法; 2)掌握SQL进行触发器定义的方法,理解触发器的工作原理; 3)掌握触发器禁用和重新启用的方法。 2 实验平台: 操作系统:Windows xp。 实验环境:SQL Server 2000以上版本。 3 实验内容与步骤 利用实验一创建的sch_id数据库完成下列实验内容。 1.创建存储过程JSXX_PROC,调用该存储过程时可显示各任课教师姓名及其所教课程名称。 存储过程定义代码: CREATE PROCEDURE JSXX_PROC AS SELECT tn 教师姓名,cn 所教课程FROM T,TC,C WHERE T.tno=TC.tno AND https://www.360docs.net/doc/df8584135.html,o=https://www.360docs.net/doc/df8584135.html,o 存储过程执行语句与执行结果截图: EXECUTE JSXX_PROC 2.创建存储过程XM_PROC,该存储过程可根据输入参数(学生姓名)查询并显示该学生的学号、 所学课程名称和成绩;如果没有该姓名学生,则提示“无该姓名的同学”。 存储过程定义代码:

CREATE PROCEDURE XM_PROC @sname VARCHAR(100) AS BEGIN IF EXISTS(SELECT NULL FROM S WHERE sn=@sname) SELECT S.sno 学号,cn 课程,score 成绩FROM S,SC,C WHERE https://www.360docs.net/doc/df8584135.html,o=https://www.360docs.net/doc/df8584135.html,o AND SC.sno=S.sno AND S.sn=@sname ELSE PRINT'无该姓名的同学。' END 运行截图: 3.创建存储过程XBNL_PROC,该存储过程可根据输入参数(专业名词,默认值为计算机专业), 统计并显示该专业各年龄段男、女生人数。如果没有该专业,则显示“无此专业”。 存储过程定义代码: CREATE PROCEDURE XBNL_PROC @departName VARCHAR(30)='计算机', @begin INT, @end INT AS

触发器实验报告

触发器实验报告 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原 理(必填) 三、主要仪器设备(必填) 四、操作方法和实 验步骤 五、实验数据记录和处理 六、实验结果与分 析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 实验截图: 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三404

(上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K , D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图:

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

555触发器及其应用

实验八 555定时器及其应用 一、实验目的 1.熟悉集成555定时器的特性参数和使用方法。 2.掌握使用555定时器组成施密特触发器的方法 3.掌握使用555定时器组成单稳态触发器的方法,定时元件RC对脉冲宽度的影响。 4.掌握使用555定时器组成自激多谐振荡器的方法和定时元件RC对振荡周期和脉冲宽度的影响。 二、实验器材 1.数字电路实验箱1台 2.示波器 1 台 3.万用表 1 只 4.集成电路:555定时器 1 只 5.元器件:电阻、电容若干只 三、实验原理和电路 1.器件特性 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 集成555定时器有双极性型和CMOS型两种产品。一般双极性型产品型号的最后三位数都

120 是555,CMOS 型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V ,最大输出电流200mA 以内,并能与TTL 、CMOS 逻辑电平相兼容。其主要参数见表8.1。 555定时器的内部电路框图及逻辑符号和管脚排列分别如图8.1和图8.2所示。 引脚功能: V i1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。 V i2(TR ):低电平触发端,简称低触发端,标志为TR 。 V CO :控制电压端。 V O :输出端。 Dis :放电端。 Rd :复位端。 555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生31V CC 和32V CC 两个基准电压;两个电压比较器C 1、C 2;一个由与非门G 1、G 2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G 3。 Rd 是复位端,低电平有效。复位后, 基本RS 触发器的Q 端为1(高电平),经反相缓冲器后,输出为0(低电平)。 分析图8.1的电路:在555定时器的V CC 端和地之间加上电压,并让V CO 悬空,则 比较器C 1的同相输入端接参考电压32V CC ,比较器C 2反相输入端接参考电压31V CC ,为了学习方便,我们规定: . (a) 555的逻辑符号 (b) 555的引脚排列 图8.2 555定时器逻辑符 号和引脚 图8.1 555定时器内部结构 Vi1(TH) Vi2 Vco ..

触发器及其应用

实验四触发器及其应用 一:实验目的 1.掌握基本RS。JK。D和T触发器的逻辑功能 2.掌握集成触发器的逻辑功能及使用方法 3.熟悉触发器之间互相转化的方法 二:实验原理: 触发器具有两个稳定状态。用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元 1.基本RS触发器 图8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”。置“1”和保持三种功能。通常称为置“1”端,因为=0(=1)时触发器被置“1”;为置“0”端,因为=1(=0)时触发器被置“0”,但==1时状态保持;==0时,触发器状态不稳定,应避免此种情况发生,表9-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表8-1: 图8-1 基本RS触发器 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 2.JK触发器 在输入信号为双端的情况下,JK触发器是功能完善.使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿除法的边沿触发器。引脚功能和逻辑符号如图8-2所示。 JK触发器的状态方程为 J和K是数据输入端是触发器状态更新的依据,若J。K有两个或两个以上输入端时,组成“与”的关系。Q和为两个互补输出端。通常把Q=0,=1的状态顶为触发器“0”状态;而把Q=1,=0定为“1”状态。

16 15 14 13 12 11 10 9 图8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能表如8-2所示表8-2 输入输出 CP J K 0 1 ××× 1 0 1 0 ×××0 1 0 0 ××× 1 1 ↓0 0 1 1 ↓ 1 0 1 0 1 1 ↓0 1 0 1 1 1 ↓ 1 1 1 1 ↑×× 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 ()—现态()—次态¢—不定态 JK触发器常被用作缓冲存储器,位移寄存器和计数器 3.D触发器 在输入信号为单端的情况下,D触发器用来最为方便,其状态方程为=,其输出 状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只 取决于时钟到来前D端的状态,D触发器的应用很广,可用作数信号的寄存,位移寄存,分 频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D74LS74。四D74LS175, 六D74LS174等 图8-3为双D74LS74的引脚排列及逻辑符号。功能表如表8-3。 图8-3 74LS74引脚排列及逻辑符合

实验五--------触发器及应用

实验五触发器及应用 院系:信息科学与技术学院 专业:电子信息工程 姓名:刘晓旭 学号:2011117147 一:实验目的 1.掌握RS,D,JK触发器的电路结构及其逻辑功能。 2.学习各种触发器功能的测试及应用方法。 3.掌握触发器的应用。 二:实验器材 74LS00四2输入与非门 74LS20二4输入与非门 74LS74双D正沿触发器 74LS76双JK触发器 蜂鸣器,三极管,电阻若干,示波器,函数信号发生器,稳压电源 三:实验内容 1.单次脉冲发生器 按照图 5.7(a)所示电路接线即构成单次脉冲发生器。工作时,每按 一次按键就从Q端输出工作脉冲。波形如图5.7(b)所示。脉冲宽度 T 取决于开关按下的时间,用双踪示波器同时观察 Q,Q波形。

图1 波形如图(2) 图 2 2.测试D 触发器的逻辑功能 将D 触发器74LS74的S D ,R D 和D 分别接逻辑开关,CP 接单次脉冲。Q,Q 接发光二极管,按D 触发器的逻辑功能进行测试,记录测试结果。 按照图示连接电路

图3 当1D 接高电平时,给电路一个单次脉冲,Q 总是处于高电平; 当1D 接低电平时,给电路一个单次脉冲,Q 总是处于低电平。 满足D 触发器的特性表(1): 表1 3.测试JK 触发器的逻辑功能。 JK 触发器74LS76按图所示的电路连线,用函数发生器输出0~5V 方波信号作 图示

图4 波形如图5 : 图5 4,触发器的相互转换 (1) JK 触发器转换成T 触发器,按图(a ),(b)所示连接电路,组成T ,T ’触 发器,记录测试结果:

图6 特性图如表2 表2 当T=1时,每来一个脉冲信号,,状 而T=0时,cp信号到达后,它的波形不变 波形如图7所示: 图7

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进 制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称 为置“1”段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0 (=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器 在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。

图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

实验5触发器的定义

实验5触发器的定义 一实验题目:触发器的定义。 二实验目的:熟悉触发器的定义和使用。 三实验内容及要求: (从下面10个题目中选一个) 题目一: 学生(学号,年龄,性别,系名) 课程(课号,课名,学分,学时) 选课(学号,课号,成绩) 1.建立学生表的insert触发器,若向学生表中插入一条记录,则自动向选课表中插入一行,令该生选一门选修人数最多的课。 2.在建立学生表的delete触发器,若选课表中该生有选课记录,则拒绝删除,要求在删除学生信息同时,将相关表中的信息全部删除。 题目二: 图书(书号,书名,价格,出版社) 读者(卡号,姓名,年龄,所属单位) 借阅(书号,卡号,借阅日期) 建立图书的insert触发器,若向图书中插入一条记录,则自动向借阅表中插入一行,令该书被借阅次数最多的读者借阅。 题目三: 商品(编号,品名,进价,库存,售价,厂商编号)

顾客(卡号,姓名,电话,积分) 厂商(编号,厂址,名称、电话) 销售(顾客卡号,商品编号,数量,日期) 建立商品的delete 触发器,只有当此商品没有人买时才可删除,若有销售记录不能删除。 题目四: 图书(书号,书名,作者编号,价格,出版社编号) 作者(编号,姓名,电话) 出版社(编号,出版社名称,地址) 建立作者的delete 触发器,只有当此作者没有任何作品才可删除,若有出书的记录不能删除。 题目五: 零件(编号,名称,颜色) 车间(编号,名称,人数,主任) 产品(编号,名称,车间编号) 使用(产品编号,使用零件编号,个数) 建立零件的delete 触发器,只有当此零件没有产品用时才可删除,若有使用记录不能删除。 题目六: 药品(编号,名称,价格,厂商) 处方(药品编号,数量,医生编号) 医生(编号,姓名,科室,职称)

实验四 触发器及其应用

实验四触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1.基本RS触发器 图4-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此种情况发生。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 2.JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性

较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图4-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。Q与Q为两个互补输出端。通常把 Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图4-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表4-2 表4-2 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变Q n(Q n)—现态 Q n+1(Q n+1 )—次态φ—不定态

施密特触发器及其应用

一、实验目的 进一步掌握施密特触发器的原理和特点,熟悉和了解由施密特触发器构成的部分应用电路,学会正确使用TTL,CMOS集成的施密特触发器。 二、实验内容 1.具有施密特性的门电路特性测试 (1)74LS132芯片的特性测试 图 20.1所示为74LS132芯片的原理电路和逻辑符号图。 图20.1 用实验法测出芯片的电压传输特性曲线。并标出V T+,V T-,ΔV T等值。 参照给定的原理电路图,说明V T+,V T-,·ΔV T等值和理论分析值是否一致? 理论分析时,可假设肖特基三极管的V BES≈0.8V,V CES≈0.3V,肖特基二极管的正向导通压降V D≈0.4V。 (2)CMOS CD40106特性测试 图20.2所示为CD40106芯片的原理电路的逻辑符号图。 令V DD=+5V,测出CD40106的V T+,V T-·ΔV T值,画出相应的电压传输特性曲线。 改变V DD值,使之分别为+10V,-15V,重复上述内容。

图20.2 2.施密性触发器的应用。 (1)多谐振荡器 按图20.3所示电路接线,V DD=-5V。 (b) (a) 图20.3 用示波器观察图(a),图(b)电路输出端Vo的波形。 选择电容C,使图(a)中Vo的频率f=100KHZ~150KHZ。 选取图(b)电路中的电容C,令其分别为100PE和1μF,测出Vo端振荡波形的相应的频率。 (2)压控振荡器 按图20.4所示电路接线V DD=+5V 信号V1的变化范围为2.5~5.0V 图20.4 用示波器观察并记录Vo端的波形。 当V1取值分别为:2.5V、3V、3.5V、4.0V、4.5V、5V时测出Vo端波形相应的频率f。 观察电路中元件参数的大小(如电阻R、电容C)和f有何关系? 观察与非门的VT施密特触发器的V T+、V T-和f有何关系? 三、思考题 1.施密特触发器电路的特点是什么?(图20.1) 所示的原理电路是由哪几部分构成的?各部分的作用是什么? 2.CMOS施密特触发器的V DD值的大小和芯片的V T+、V T-、ΔV T参数有何关系? 3.改变图20.1图(b)电路的V DD值时,Vo端的振荡频率是否会跟着变化?怎样变化? 四、实验仪器及材料

相关文档
最新文档