实验5 m序列的产生

实验5 m序列的产生
实验5 m序列的产生

课程实验报告

课程3G移动通信实验

实验名称M序列的产生

学院通信工程

专业通信工程

班级13083414

学号13081405

学生姓名田昕煜

一、实验内容

【实验目的】

?加深对m序列产生原理的理解;

?能够使用Matlab设计m序列发生器;

【实验内容】

?使用生成多项式(23)8=(10011)2产生第一个m序列;

?使用生成多项式(31)8=(11001)2产生第二个m序列;

【实验设备】

?一台PC 机

【实验步骤】

1. 画出生成多项式对应的移位寄存器结构图

2.应用Matlab语言编写程序,生成m序列,并对生成的m序列绘图

【实验报告】

按照要求完成实验报告。实验报告中要求给出移位寄存器结构图和两个m序列对应的图形。

【例子】4级m序列(31)8=(11001)2=(c4,c3,c2,c1,c0)的产生:

二、仿真程序及说明

clear all;

clc;

r=5;

a1=ones(1,r);

m1=zeros(1,2^r-1);

for i=1:(2^r-1)

temp= mod((a1(4)+a1(1)),2); for j=r:-1:2

a1(j)=a1(j-1);

end

a1(1)=temp;

m1(i)=a1(r);

end

subplot(2,1,1)

stem(m1);

axis([0 ,2^r, 0,2 ]);

title('本原多项式为(23)8');

a2=ones(1,r);

m2=zeros(1,2^r-1);

for i=1:(2^r-1)

temp= mod((a2(4)+a2(3)),2);

for j=r:-1:2

a2(j)=a2(j-1);

end

a2(1)=temp;

m2(i)=a2(r);

end

subplot(2,1,2)

stem(m2);

axis([0 ,2^r, 0,2 ]);

title('本原多项式为(31)8');

三、总结

学习了对于M序列的MATLAB编程,也对于通信原理中的8进制转换M序列有的巩固。

实验8-序列信号发生器

实验8-序列信号发生器

实验8 序列信号发生器 实验目的: 1.熟悉掌握EDA软件工具Multisim 的仿真测试应用。 2.熟悉序列信号发生器的工作原理。 3.学习序列信号发生器的设计方法。 实验仪器设备与主要器件: 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 4位十进制加法计数器74LS160;4位二进制加法计数器74LS161。 8选1数据选择器74LS251、74LS152、74LS151。 实验内容: 1.用计数器74LS160设计一个7位巴克码(0100111)的产生电路,画出电路时序图。用示波器观察电路输出的波形。 实验原理: ①先设计计数器。由于序列长度为7,所以选用74LS160设计一个八进制计数器。 QB?。 现采用置零法,有效状态为0000~0110,所以LOAD=QC ②然后设计组合输出电路。令计数器计数过程中每一状态的输出符合给定序列要求,用8选一数据选择器74LS251.实现逻辑函数,且数据选择器的数据输入端D0 D1 D2 D3 D4 D5 D6 D7 0 1 0 0 1 1 1 * 实验分析:如电路图所示,将计数器的输出QCQBQA作用于数据选择器的地址输入端,于是,每计一个数,数据选择器就输出一个预先置好的数据。当CP信号持续不断地加到计数器上,QCQBQA的状态(也即74LS251的地址输入代码)按0000~0110的顺序不断循环,对应的输出也不断地循环:0100111 实验结果与现象:

2.设计灯光控制逻辑电路。要求红、绿、黄三种颜色的灯在时钟信号作用下按表2—8—2 CP顺序红绿黄 0 0 0 0 1 1 0 0 2 0 1 0 3 0 0 1 4 1 1 1 5 0 0 1 6 0 1 0 7 1 0 0 8 0 0 0 实验原理: ①先设计计数器。从表2—8—2可以看出三个序列信号的序列长度为8,所以选用74LS160设计一个八进制计数器。现采用置零法,有效状态为0000~0111,所以LOAD=QC ?。 QA? QB ②然后设计组合输出电路。该电路需产生三个序列信号,所以需要三个数据选择器74LS251。令计数器计数过程中每一状态的输出符合给定序列要求,用8选一数据选择器74LS251.实现逻辑函数,且数据选择器的数据输入端所置数为: D0 D1 D2 D3 D4 D5 D6 D7 0 1 0 0 1 0 0 1 D0 D1 D2 D3 D4 D5 D6 D7 0 0 1 0 1 0 1 0

m序列产生及其特性实验

湖南科技大学 移动通信实验报告 姓 名: 吴文建 学 号: 1208030104 专业班级: 应用电子技术教育一班 实验名称: m 序列产生及其特性实验 实验目的: 掌握m 序列的特性、产生方法及其应用 实验仪器:1、pc 机一台 2、 实验原理: 1、m 序列的产生 :m 序列是由带线性反馈的移存器产生的。结构如图: a n-1 a n-r ... a n-3 a n-2 C 1 C r C 3C 2 ...C 0 输出 输出为反馈移位寄存器的结构,其中an-i 为移位寄存器中每位寄存器的状态,Ci 为第i 位寄存器的反馈系数。Ci =1表示有反馈,Ci =0表示无反馈。 一个线性反馈移位寄存器能否产生m 序列,取决于它的反馈系数Ci (例如上图的C3)。 对于m 序列,Ci 的取值必须按照一个本原多项式: ∑==n i i i x C x f 0 )(中的二进制系数来取值。 n 级移位寄存器可以产生的m 序列个数由下式决定: r N r ) 12(-Φ= 其中φ(x )为欧拉函数,表示小于等于x 并与x 互质的正整数个数(包括1在内)。 表1-1-1列出了部分m 序列的反馈系数C i ,按照下表中的系数来构造移位寄存器,就能产生相应的m 序列。

表1-1-1 m序列的反馈系数表 m序列的级数n m序列的周期P 反馈系数Ci(八机制) 3 7 13 4 1 5 23 5 31 45,67,75 6 63 103,147,155 7 127 203,211,217,235,277,313,325,345,367 8 255 435,453,537,543,545,551,703,747 9 511 1021,1055,1131,1157,1167,1175 10 1023 2011,2033,2157,2443,2745,3271 11 2047 4005,4445,5023,5263,6211,7363 12 4095 10123,11417,12515,13505,14127,15053 13 8192 20033,23261,24633,30741,32535,37505 14 16383 42103,51761,55753,60153,71147,67401 15 32765 100003,110013,120265,133663,142305 m序列的具有以下性质: (1)均衡性。m序列中0和1的数目基本相等 (2)游程分布 (3)移位相加性 (4)相关特性。自相关波形如图1-1-3所示 -1/p 1 P 图1-1-3 m序列的自相关波形(5)周期性 (6)伪随机性。分布无规律,具有与白噪声相似的伪随机特性 实验步骤: (1)预习m序列产生原理及其性质,独立设计m序列产生方法。 (2)画出m序列仿真流程图 (3)编写MATLAB程序并上机调试。 (4)验证m序列的相关性质。 (5)撰写实验报告。

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

序列信号发生器分析

华南师范大学实验报告 学生姓名林竞浩李瑜贤学号20102804016 专业多媒体与网络技术年级、班级2010级4班 课程名称模拟电路与数字电路实验项目555定时器的应用 实验类型□验证□设计□综合实验时间2011年月日 实验指导老师实验评分 一、实验目的 1 学会构建序列发生器的基本方法 2掌握对序列信号发生器序列信号的测试分析方法。 二、实验仪器 安装有Multisim10软件的个人电脑 三、实验原理 序列信号器产生序列信号,有多种方法。本实验采用计数器和数据选择器构成发生。图一中四位二进制同步计数器74S163状态输出端QC,QB,QA输出的数据,送入8选1数据选择器74S151的地址输入端ABC,需要获取产生的序列信号接至数据选择器74S151数据输入端D0-D7,数据从Y或W端输出,实验电路原理图如下

四、实验步骤 1设定产生周期为00010111序列信号。 2打开电脑Multisim10操作平台,从TTL元件库中取出74S163,74S151,显示器件库中取下带译码器的数码管及探针等器件,以及逻辑分析仪,按实验电路图连接好。 3设定时钟信号发生器V1的频率为100HZ .调整好实验电路后,数码管有0-7计数显示,探针有闪动。 4双击打开逻辑分析仪工作界面,以备测试波形。调整逻辑分析仪时钟源为外同步。正常后,观察数码管,探针,逻辑分析仪波形的变化,把相关数据填入表1中 输入时钟脉冲计数器输出逻辑指示灯数码管显示 QC QB QA Y 0 0 0 0 N 0 1 0 0 1 N 1 2 0 1 0 N 2 3 0 1 1 Y 3 4 1 0 0 N 4 5 1 0 1 Y 5

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

伪随机序列m和M的生成算法实现

m-M 文档 1 相关概念 随机序列:可以预先确定又不能重复实现的序列 伪随机序列:具有随机特性,貌似随机序列的确定序列。 n 级线性移位寄存器,能产生的最大可能周期是21n p =-的序列,这样的序列称为m 序列。 n 级非线性移位寄存器,能产生的最大周期是2n 的序列,这样的序列称为M 序列。 图1线性移位寄存器 线性移位寄存器递推公式 11221101 n n n n n n i n i i a c a c a c a c a c a ----==++++= ∑ 线性移位寄存器的特征方程式 010 ()n n i n i i f x c c x c x c x ==+++= ∑ ,ci 取值为0或1 定义 若一个n 次多项式f (x )满足下列条件: (1) f (x )为既约多项式(即不能分解因式的多项式); (2) f (x )可整除(x p +1), p =2n -1; (3) f (x )除不尽(x q +1), q

由抽象代数理论可以证明,若α是n 次本原多项式()f x 的根,则集合2 2 {0,1,}n F α-= 可 构成一个有限的扩域(2)n G F 。F 中的任一元素都可表示为1110n n a a a αα--+++ ,这样n 个分量的有序序列110(,,,)n a a a - 就可表示F 中的任一元素。 若既约多项式()f x 的根能够形成扩域(2)n G F ,则该多项式是本原多项式,否则不是本原多项式。 2.2 二元域(2)GF 上的本原多项式算法实现 (2)GF 上n 次多项式的通式为 1 2 1210()...n n n n n f x x a x a x a x a ----=++++,系数是二元域上的元素(0,1) 既约多项式既不能整除,1x x +,0和1不可能是()f x 的根,即0a =1, ()f x 的项数一定为奇数。 另外,一个既约多项式是否能形成(2)n G F ,从而判断它是否为本原多项式。N 次多项式的扩域,其中,120,1,,,n ααα 一定在扩域中,需要判断的是12 2 ,n n αα+- 是否也在扩域 中,从而形成全部扩域(2)n G F ,若在,则该n 次既约多项式是本原多项式,否则不是。 (1)给定二元多项式 1 2 1210()...n n n n n f x x a x a x a x a ----=++++,01a = 设α是f(x)扩域中的一个元素,且f(α)=0则有: n n-1 n-11=a ++a +1αα α (1) (2)从n α开始,计算α的连续幂。在计算过程中,当遇到α的幂次为n 时,将(1)代入,一直计算到n 2 -2 α (形成GF (2n )),再计算n 2 -1 α 。若n 2-1 α =1,则证明()f x 能被n 21 x 1-+整 除,而不能整除1q x +(21n q <-),判定为本原多项式。在计算α的连续幂过程中,若 q x =1(21n q <-),则证明()f x 能被1q x +整除,判定为非本原多项式,停止计算。 在计算机实现时,n 个分量的有序序列110(,,)n a αα- 与α的任一连续幂有着一一对应的 关系,可以用有序序列110(,,)n a αα- 来表示α的任一连续幂。q α用110(,,)q q q n a αα- 来

多种信号音及铃流信号发生器实验

信息科学与工程学院《程控交换原理》上机实验报告 专业班级电信姓名学号 实验时间 2010年 12月 2 日指导教师成绩

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音 )由图4—2可知,这是一种常见的PCM编码方式,400HZ—500HZ的正弦信号由硬(3)数字电路产生数字音信号

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十三 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4是该电路的原理图。 图4—6忙音控制电路的原理图。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

基于MATLAB的m序列产生

第一章设计内容及要求 基于MATLAB产生m序列 要求: 1.通过matlab编程产生m序列的产生原理及其产生方法。 2.对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。

第二章m序列设计方案的选择 2.1 方案一 MATLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。 2.2 方案二 图2.1 Simulink实现m序列 Simulink是MATLAB最重要的组件之一,它提供了一个动态系统建模,仿真和综合分析的集成环境。在此环境中无需大量书写程序,而只需通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应性广,结构及流程清晰及仿真精细等优点,基于以上优点,Simulink已被广泛的运用到控制理论和数字信号处理的复杂仿真和设计。 通过比较方案一和方案二,发现方案一的有点具有通用性而方案二利用MATLAB的Simulink直接搭建模块,在移位寄存器较少的情况下利用此方法比较简单,可是当移位寄存器的个数增多时,要搭建那么多的模块就显的很繁琐了,缺乏通用性,因此本次实验选择方案一。

第三章m序列的产生及性质 3.1 m序列的产生原理、结构及产生 m序列是最长线性反馈移位寄存器序列的简称,m序列是由带线性反馈的移位寄存器产生的。 由n级串联的移位寄存器和反馈逻辑线路可组成动态移位寄存器,如果反馈逻辑线路只由模2和构成,则称为线性反馈移位寄存器。 带线性反馈逻辑的移位寄存器设定初始状态后,在时钟触发下,每次移位后各级寄存器会发生变化,其中任何一级寄存器的输出,随着时钟节拍的推移都会产生一个序列,该序列称为移位寄存器序列。 n级线性移位寄存器的如图3.1所示: ◇A 图3.1 n级线性移位寄存器 图中C i表示反馈线的两种可能连接方式,C i=1表示连线接通,第n-i 级输出加入反馈中;C i=0表示连线断开,第n-i级输出未参加反馈。 因此,一般形式的线性反馈逻辑表达式为 ------表达式3.1将等式左边的a n移至右边,并将a n=C0a n(C0=1)带入上式,则上式可以 写成 -------表达式3.2 定义一个与上式相对应的多项式 --------表达式3.3 其中x的幂次表示元素的相应位置。该式为线性反馈移位寄存器的特征

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

EDA实验报告--序列信号发生器

南昌大学实验报告 学生姓名:林聪学号:5801209051 专业班级:中兴091班 实验类型:□验证□综合□设计□创新实验日期:2011/10/19实验成绩: 实验三序列信号发生和检测器 一、实验目的 1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法; 2、学习有限状态机法进行数字系统设计; 二、设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下: 1、先设计0111 0100 1101 1010序列信号发生器,其最后8BIT数据用LED显示出来; 2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”, 否则输出为“0”; 三、主要仪器设备 1、微机1台 2、QuartusII集成开发软件1套 3、EDA实验装置1套 四、实验步骤 1、分析实验,由于实验需要产生具备序列发生器和序列检测器的功能,根据分模块处理的 思想,可以把实验分为两个模块,通过顶层元件建立输入输出的连接。 2、建立模块使用VHDL编程,首先,建立序列发生器的模块,名为xlfsq,VHDL代码如下: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entityxlfsq is port(clk,rst:instd_logic; cout,e1,e2,e3,e4,e5,e6,e7,e8:out std_logic); endxlfsq; architecture one of xlfsq is signalcq:std_logic; signal f1,f2,f3,f4,f5,f6,f7:std_logic; begin P1:process(clk,rst) variablecount:std_logic_vector(3 downto 0); begin if(rst='0')then count:="0000"; elsif(clk'event and clk='1')then count:=count+1; end if;

m序列产生实验

m序列产生实验 一、实验目的 1、m序列产生的基本方法; 2、m序列0状态消除的基本手段; 二、实验仪器 1、JH5001型通信原理实验箱一台; 2、MaxplusII开发环境一台; 3、JTAG下载电缆一根; 4、CPLD下载板一块; 5、微机一台; 6、示波器一台; 三、实验原理 m序列产生电路在通信电路设计中十分重要,它广泛使用在扩频通信、信号产生、仪器仪表等等电路中。 m序列有时也称伪噪声(PN)或伪随机序列,在一段周期内其自相关性类似于随机二进制序列。尽管伪噪声序列是确定的,但其具有很多类似随机二进制序列的性质,例如0和1的数目大致相同,将序列平移后和原序列的相关性很小。 PN序列通常由序列逻辑电路产生,一般是由一系列的两状态存储器和反馈逻辑电路构成。二进制序列在时钟脉冲的作用下在移位寄存器中移动,不同状态的输出逻辑组合起来并反馈回第一级寄存器作为输入。当反馈由独立的“异或”门组成(通常是这种情况),此时移位寄存器称为线性PN序列发生器。 如果线性移位寄存器在某些时刻到达零状态,它会永远保持零状态不变,因此输出相应地变为全零序列。因为n阶反馈移位寄存器只有2n-1个非零状态,所以由n阶线性寄存器生成的PN序列不会超过2n-1个。周期为2n-1的线性反馈寄存器产生的序列称为最大长度(ML)序列——m序列。

m 序列发生器的一般组成 m 序列发生器一般组成如上图所示,它用n 级移位寄存器作为主支路,用若干级模2加法器作为各级移位寄存器的抽头形成线性反馈支路。各抽头的系数hi 称为反馈系数,它必须按照某一个n 次本原多项式:∑==n i i i x h x h 0)(中的二进制 系数来取值。 在伪序列发生模块中,可以根据本原多项式的系数,…..h 8、h 7、h 6、h 5、h 4、h 3、h 2、h 1、h 0产生m 序列,这些系数可表示8进制数(1代表相连抽头进入反馈回路,0代表该抽头不进入反馈回路),如: 13、23、103、203 四、 课题设计要求 在输入时钟256KHz 的时钟作用下,可在外部跳线器的控制下改变产生不同的m 序列,在程序中定义的几个变量为: 输入: Main_CLK :输入 256KHz 主时钟 M_Sel[1..0]:选择输出不同的m 序列 当 Mode[]=0:本原多项式为13(8进制表示); 当 Mode[]=1:本原多项式为23(8进制表示); 当 Mode[]=2:本原多项式为103(8进制表示); 当 Mode[]=3:本原多项式为203(8进制表示); 输出: M_Out :m 序列输出 说明: 1、 M_Sel[1..0]与复接模块的m_sel0、m_sel1相连; M_Out 在测试点TPB01输出; 五、 实验步骤 1、将JH5001二次开发光盘内的基本程序m.tdf 及其它相关程序(在光盘的“2th\student_m ”子目录下)拷入机器内。注意:这里面有基本的程序框架(变量定义、主程序入口),在m.acf 文件中所有定义不要改动,特别是

信号发生器实验报告(终)

南昌大学实验报告 学生姓名:王晟尧学号:6102215054专业班级:通信152班 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p=6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶 m 体管的截止电压值。 图4 三角波→正弦波变换电路

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

M序列的matlab产生方法

M序列是工程中常用的输入信号,它的性质类似于白噪声,而白噪声是理论上最好的输入信号,可见M序列的价值。下面介绍M序列的matlab产生方法。 idinput函数 产生系统辨识常用的典型信号。 格式 u = idinput(N,type,band,levels) [u,freqs] = idinput(N,'sine',band,levels,sinedata) N 产生的序列的长度,如果N=[N nu],则nu为输入的通道数,如果N=[P nu M],则nu指定通道数,P为周期,M*P为信号长度。默认情况下,nu=1,M=1,即一个通道,一个周期。 Type 指定产生信号的类型,可选类型如下 Band 指定信号的频率成分。对于’rgs’、’rbs’、’sine’,band = [wlow, whigh]指定通带的范围,如果是白噪声信号,则band=[0, 1],这也是默认值。指定非默认值时,相当于有色噪声。 对于’prbs’,band=[0, B],B表示信号在一个间隔1/B(时钟周期)内为恒值,默认为[0, 1]。 Levels 指定输入的水平。Levels=[minu, maxu],在type=’rbs’、’prbs’、’sine’时,表示信号u的值总是在minu和maxu之间。对于type=’rgs’,minu指定信号的均值减标准差,maxu指定信号的均值加标准差,对于0均值、标准差为1的高斯白噪声信号,则levels=[-1, 1],这也是默认值。 说明 对于PRBS信号,如果M>1,则序列的长度和PRBS周期会做调整,使PRBS的周期为对应一定阶数的最大值(即2^n-1,n为阶数);如果M=1,PRBS的周期是大于N的相应阶数的值。在多输入的情形时,信号被最大平移,即P/nu为此信号能被估计的模型阶次的上界。 上面的意思可如下理解:对于M=1时, ms = idinput(12, 'prbs', [0 1], [0 1]); figure stairs(ms) title('M序列')

数电实验报告 序列信号发生器

实验报告 实验八序列信号发生器 2.8.1实验目的 (1)熟悉掌握EDA软件工具Multisim的仿真测试应用。 (2)熟悉序列信号发生器的工作原理。 (3)学习序列信号发生器的设计方法。 2.8.2实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台。 4位十进制加法计数器74LS160;4位二进制加法计数器74LS161。 8选1数据选择器74LS251、74LS152和74LS151。 2.8.3实验原理 序列信号是按照一定规则排列的周期性串行二进制码。 1.计数型序列信号发生器 设计过程分为如下两步: ①根据数列码的长度p设计模p计数器,状态可以任意。 ②按计数器的状态转换关系和序列码的要求设计组合输出电路。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对输出序列的更改比较方便,而且还能够同时产生多组序列码。 2.2.移位型序列信号发生器 移位型数字信号发生器是由移位寄存器和组合反馈电路组成的。组合电路的输出,作为移位寄存器的串行输入。由n位寄存器构成的序列信号发生器所产生的序列信号的最大长度为P=2n。 设Q3Q2Q1Q0的初始状态为1110,在CP作用下,Q3的输出为...110011110011...。在这种序列信号的每个循环周期内,代码1和0是按一定规律排列的。在每个循环周期内,包含代码的个数称为循环长度,也称序列长度,用字母P表示。因前面的序列信号110011是一个信号周期,则P=6。如果有Q2输出序列为111001,Q1输出序列为111100,Q0输出序列则为011110。显然这四个序列0和1的排列相同,初始相位不同而已。 2.8.4实验内容 (1)用计数器74LS160设计一个7位巴克码(010011)的产生电路,画出电路时序图。用示波器观察电路输出波形。 设计思路:输出序列信号与计数器的对映关系式: Y’= 0——1——0——0——1——1——1

信号发生器实验报告

Chongqing Electric Power College 信 号 发 生 器 实 验 报 告

一、 产品分析及市场调查 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。采用集成运放和分立元件相结合的方式,利用迟滞比较器电路产生方波信号,以及充分利用差分电路进行电路转换,从而设计出一个能变换出三角波、正弦波、方波的简易信号发生器。通过对电路分析,确定了元器件的参数,并利用protuse 软件仿真电路的理想输出结果,克服了设计低频信号发生器电路方面存在的技术难题,使得设计的低频信号发生器结构简单,实现方便。该设计可产生低于10 Hz 的各波形输出,并已应用于实验操作。 信号发生器一般指能自动产生正弦波、方波、三角波电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。这里,采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于10 Hz 的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 原理框架图: 二、电源硬件电路图的设计 (1)单片机的选择 根据初步设计方案的分析,设计这样的一个简单的应用系统,可以选择带有EPROM 的单片机,应用程序直接存贮在片内,不用在外部扩展程序存储器,电路可以简化。ATMEL 公司生产的AT89C 系列单片机,AT89C 系列与C51系列的单片机相比有两大优势:第一,片内程序存储器采用闪存存储器,使程序的写入更加方便;第 “+”“-”键 单片机控制部分 DAC 输出

m序列产生及其特性

一、实验目的 通过本实验掌握m 序列的特性、产生方法及应用。 二、实验内容 1、观察m 序列,识别其特征。 2、观察m 序列的自相关特性。 三、基本原理 m 序列是有n 级线性移位寄存器产生的周期为21n -的码序列,是最长线性移位寄存器序列的简称。码分多址系统主要采用两种长度的m 序列:一种是周期为1521-的m 序列,又称短PN 序列;另一种是周期为 4221-的m 序列,又称为长PN 码序列。m 序列主要有两个功能:①扩展调制信号的带宽到更大的传输带宽, 即所谓的扩展频谱;②区分通过多址接入方式使用同一传输频带的不同用户的信号。 3、m 序列的互相关函数 两个码序列的互相关函数是两个不同码序列一致程度(相似性)的度量,它也是位移量的函数。当使 用码序列来区分地址时,必须选择码序列互相关函数值很小的码,以避免用户之间互相干扰。 研究表明,两个长度周期相同,由不同反馈系数产生的m 序列,其互相关函数(或互相关系数)与自 相关函数相比,没有尖锐的二值特性,是多值的。作为地址码而言,希望选择的互相关函数越小越好,这 样便于区分不同用户,或者说,抗干扰能力强。 在二进制情况下,假设码序列周期为P 的两个m 序列,其互相关函数R xy (τ)为 ()xy R A D τ=- (9-9) 式中,A 为两序列对应位相同的个数,即两序列模2加后“0”的个数;D 为两序列对应位不同的个数, 即两序列模2加后“1”的个数。 为了理解上述指出的互相关函数问题,在此以5n =时由不同的反馈系数产生的两个m 序列为例计算它 们的互相关系数,以进一步讲述m 序列的互相关特性。将反馈系数为8(45)和8(75)时产生的两个5级m 序 列分别记做:1m :1000010010110011111000110111010和2m :111110111000101011010000110100,序列1m 和 2m 的互相关函数如表9-3所示。 表9-3序列1m 和2m 的互相关函数表

相关文档
最新文档