《数字电路》复习资料汇总

《数字电路》复习资料汇总
《数字电路》复习资料汇总

数字电路复习资料

第一章开关特性

1.数字电路中的晶体管大多工作在什么状态?(开关状态)

2.体三极管开关特性是什么?晶体三极管在基极信号作用下,可以导通和截止,因而可作为开关元件使用。

3.基本的逻辑关系有几种?(与逻辑,或逻辑,非逻辑。)

4.基本的逻辑门有几种?(与门电路,或门电路,非门电路)

5.正逻辑与门所实现逻辑关系?所有输入都为高电平时,输出才为高电平;只要有一个输入为低电平,输出就为低电平。

6.正逻辑,负逻辑? 用“1”表示高电平,“0”表示低电平,则这种逻辑关系称正逻辑,如作相反的规定,则为负逻辑。

7.什么叫做灌电流?(从TTL与非门输入端流出的电流称灌电流。)

8.TTL与非门的扇出系数N O的含义是什么?N O表示负载能力的参数,它指输出端能驱动同系列与非门的最大数目。

9.线与概念是什么?(把几个OC门的输出端联接起来,实现与的功能,这种功能称线与。而通常的TTL与非是不能接成线与的形式,不然的话就会烧坏门电路.)

10.什么是三态门,它有几个输出状态?(三态门是由TTL与非门外加一控制电路而成,其输出端有三种状态:高电平输出状态、低电平输出状态和高阻抗输出状态。)

11.异或门的逻辑表达是什么?其含义是什么?逻辑符号是什么?(A⊕B=A+B,其含义是指当输入端两变量电平高低不同时,输出为1;当输入端两变量电平一致时输出为低电平。逻辑符号为: )

第三章数制与编码

1.二→十 11011=1×24+1×23+0×22+1×21+1×10=(27)10

2.二→八(10111)2=(010111)2=(27)8

3.二→十六(1011000110)2=(2C6)16

4.十六→二(4F3)16=(10011110011)2

5.八→二(34)8=(11100)2

6.十→二(36)10=(100100)2

7.16→10 (3A)16=3×161+10×160=(58)

8.8421BCD→10 (1001)8421BCD=1×23+0×22+0×21+1×20=(9)10

9.10→8421BCD (485)10=(010*********)8421BCD

举例:

1.(93)10=(1001 0011)8421BCD

2.(593)10=(0101 1001 0011)8421BCD

3.(FF)16=(1111 1111)2

4.(CB)16=(1100 1011)2

5.(F3)16=(11110011)2

6.(32)16=(00110010)2

7.(22)10=(10110)2

8.(18)10=(10010)2

9.(10111)2=(010111)8

10.(10110)2=(010110)8

11.(1000101)2=(69)10

12.(1011)2=(11)10

13.(23)10=(10111)2

14.(174)10=(10101110)2

10.什么是8421BCD码?(8421BCD码是由4位二进制数的0000(0)到1111(F)16种组合中的前10种组成,即0000(0)到1001(9),其余的六种无效)

第四章逻辑代数

1、基本的逻辑运算有几种? (基本逻辑运算有与运算、或运算、非运算三种。)

2、逻辑函数的表示方法有几种? (有四种,分别是:逻辑函数表示法、真值表表示法、逻辑图表示法、卡诺图表示法;四种表示关系可以互相转化。)

3、常用运算公式运用

12.、(A,B,C,D)=Σm(1,4,6,9,12)+Σd(5,13,14,15)

13是反演规则? 只要将函数式F中的所有“·”→“+”、“+”→“·”原变量变成反变量,反变量变成原变量。1→0、0→1,就可以得到F的反函数F。如:已知F=A+C,求。则=(+B)·(A+)

14偶规则? 将F式中的所有“·”→“+”、“+”→“·”、 1→0、0→1,就可以得到F的对偶式F’。例:若F=AB+AC,则F=(A+B)·(A+C)。

15 四个变量ABCD的最小项共有多少个?(24=16个)试举一例如:BC或CD

16 MOS器件的工作电压通常是多少?TTL器件的工作电压通常是多少?

(3~18V, 5V) TTL电路工作特点是:工作速度快,功耗小

17 若,求 =?()

18 若则L的对偶式为?()

19 化简:

20 化简:

21 化简:

22 化简:

=

23 化简:

24 化简:

25 化简:

26 化简:

27 化简:

28 利用卡络图化简下列函数为最简与或式。

①F1(A,B,C)=

F1=B+

②F2(A,B,C)=

F2(A,B,C)= 或F2(A,B,C)=

③F3(A,B,C,D)=

F3=

④F4(A,B,C,D)= +

F4=

⑤F5(A,B,C,D)=

F5(A,B,C,D)=

⑥F6(A,B,C,D)= +

F6=

⑦F7(A,B,C)=

F7=

⑧F8(A,B,C)=

第五章组合逻辑电路

1、什么是组合逻辑电路,它有何特点? (1)从结构上来讲,组合逻辑电路都是由逻辑门组成,且输出到输入不存在反馈路径;(2)从逻辑上来讲,组合电路在任一时刻的输出状态仅由该时刻的输入状态决定,而与过去的输入状态无关。

2、什么是组合逻辑电路中的“竞争冒险”现象?在组合逻辑电路中由于信号从输入到输出经过不同通路上门的级数不同,或者门电路平均延迟时间的差异,使信号经不同通路传输到输出级的时间不同,产生错误的输出现象称为“竞争冒险”现象。

3、试用与或门、与非门实现如下函数:;

4、组合逻辑电路分析大致经历哪几个步骤?(1)对已知的组合逻辑电路写出其函数表达式,并对其进行化简,看电路具有什么功能,若不能看出电路功能,则进一步列出真值表;(2)列出真值表后,再对电路功能进行分析。

5、已知,电路如图所示:写出其F表达式

6、如图已知:F=,C=AB 试分析电路功能

从真值表可知该器件的功能为串加器。

7、组合逻辑电路设计要经历哪几个步骤?

(1)根据设计要求确定输入变量和输出变量的数目,并给各个变量指定字母代号,并对变量的状态赋

值予以规定;

(2)根据要求列出输入变量和输出变量之间的真值表;(3)由真值表直接写出函数表达式或通过卡

诺图化简后写出函数表达式;(4)由函数表达式的变换,画出逻辑电路

8、常用的组合逻辑电路有哪些? 加法器、译码器、编码器、数据选择器、奇偶发生器/校验器、数值比较器。

9、什么叫编码?什么叫编码器?把某一信息变换为一组具有特定含义代码输出的过程称编码,实现编码功能的电路称编码器。

10、什么叫译码?译码器?将具有特定含义的二进制码进行识别,并转换成控制信号的过程称译码,实现译码的电路称译码器。

11、什么叫优先编码器?(识别请求信号的优先级别并进行编码的逻辑部件称优先编码器。)

12、(1)设计一个三人多数表决电路,并用与非门实现。(2)有一组合电路,输入为一位十进制数的8421码,若为奇数则输出F=1,否则F=0,试用与非门实现。

解:①设置逻辑变量:设ABC表示三个人,每个变量取1表示同意,取0表示不同意。F为表决结果,F=1表示同意,F=0表示不同意。

②列真值表如图:③画卡诺图:并化简

∴F=AC+BC+AB==

④用与非实现该表决电路

14、7442是什么译码器?它有几个输入端、几个输出端?7442是8421BCD码译码器,它有10个输入端,4个输出端。

15、什么叫数据分配?什么叫数据分配器?(数据分配是将一个数据源来的数据根据需要送到多个不同的通道上,实现数据分配的电路叫数据分配器。)

16、什么叫数据选择?什么叫数据选择器?(数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上,实现数据选择功能的逻辑电路称为数据选择器。)

17、什么叫数据比较器?(比较两组数据大小的逻辑电路称数据比较器。)

18、半加器?全加器?(1)实现两个1位数相加,且不考虑来自低位的进位数,而仅有本位被加数和加数相加的情况称为半加,实现半加运算的称为半加器。(2)能把两个1位数、低位进位加数,并输出和进位的逻辑电路称全加器。

19、多位加法器有几种形式,哪种形式工作速度快?有串行进位加法器和超前进位加法器,超前进位加法器工作速度比串行进位加法器工作速度快。

第六章集成触发器

1、什么是触发器? 触发器按逻辑功能分类?能存储1位二进制码的逻辑电路,称触发嚣。

2、集成触发器按结构形式分为哪四类? 基本触发器、同步触发器、主从触发器、边沿触发器四种。3、集成触发器按逻辑功能分为哪四类?RS触发器、D触发器、JK触发器和T触发器

4、写出RS触发器、D触发器、JK触发器和T触发器的特性方程。

RS触发器Q n+1=S+Q n

JK触发器Q n+1=J n+Q n

T触发器Q n+1=T n+Q n

D触发器Q n+1=D

第七章时序逻辑电路

1、逻辑电路可分为哪两大类?逻辑电路可分为组合逻辑电路和时序逻辑电路。

2、时序逻辑电路的特点是什么? 在任何时刻的输出信号不仅与当时的输入信号有关,而且还与电路的原来的状态有关。

3、时序逻辑电路的组成特点是什么? 时序逻辑电路除含有组合电路外,还含有存储电路。因而具有记忆能力,仅由存储电器也可以构成时序逻辑电路。

4、时序逻辑电路功能的描述方法有几种形式?逻辑方程式、状态图、时序图。

5、时序逻辑电路可以分为哪两大类?时序逻辑电路可分为同步时序逻辑电路和异步时序逻辑电路。

6、分析图中所示电路,说明它是多少进制的计数器?

它是用2片74161采用反馈清零法组成的174进制的计数器

7、什么叫同步可逆计数器?在同步计数器中,同时兼有加和减两种计数功能的计数器称同步可逆计数器。

8、常见的时序电路有哪些?有各类计数器、寄存器和移位寄存器

9、同步计数器和异步计数器各有什么特点?在同步计数中,各触发器的CP端都由同一个时钟源直接推动,故为同步时序电路;对于异步计数器,各触发器不是用同一个CP脉冲驱动,而是逐级驱动,故称异步时序电路。正是由于上述特点的不同,所以异步计数器的工作速度比同步计数器工作速度低,而且级数愈多,速度也愈低。

10、寄存器、移位寄存器各有什么作用?寄存器的功能是存储代码;移位寄存器不但可以存储代码,还可以用来实现数据的串行-并行转换,数据的处理及数值的运算。

11、计数器的作用是什么?计数器是统计输入脉冲个数的时序电路。它不仅能计数,还可以分频、定时、产生节拍脉冲以及进行数字运算等。它是数字系统中最基本、最常用的时序部件。构成计数器的主要器件是无空翻的钟控触发器。

第八章存储器和可编程逻辑器件

1、存储器的容量怎样表示。(常以字数和字长的乘积来表示。)

2、什么是ROM、PROM、EPROM、E2PROM?它们具有什么特点?

ROM只读存储器,ROM由专用的装置写入数据,数据一旦写入,不能随意改写,断电后数据也不会丢

失;PROM一次可编程存储器,用户可以自己一次编程输入数据;EPROM光可擦除可编程存储器;E2PROM 电可擦除可编程存储器。

3、什么是RAM?它具有什么特点? RAM为随机存取存储器,它可以从任意指定的地址读出或写入数据。

但RAM具有易失性,一旦断电,所有的存储数据立即丢失。

4、RAM有几部分组成?各部分作用是什么? RAM通常由存储矩阵、地址译码器和读/写控制电路三部分组成。存储矩阵由许多存储单元排列而成,每个存储单元能存储一位二值数据,地址译码器将输入的地址代码译成一条字线的输出信号,选中该条字线上存储单元与读写控制电路接通,然后对这些单元进行读写。

5、动态RAM和静态RAM在存储数据上有什么不同,特点是什么? 静态RAM是利用触发器来实现数据的存取。动态RAM则是利用栅极电容来存取数据,因此要求定期刷新。动态RAM具有较高的集成度,但存取数据的速度不及静态RAM快。

6、指出下列存储系统各具有多少个存储单元,至少需几根地址和数据线。

(1)64K×1 (64K,16根,1根)

(2)256K×4 (1M,18根,4根)

(3)1M×1 (1M,20根,1根)

(4)128K×8 (1M,17根,8根)

7、怎样实现存储器的位扩展?位扩展可以利用芯片并联方式实现,即把RAM的地址线、读/写控制线和片选信号对应地并片一起,而各芯片的数据输入/输出端作为字的各位线。

8、怎样实现存储器的字数扩展?字数的扩展可以利用外加译码器来控制存储器芯片的片选端来实现。9、假设存储器的启始地址为全0,指出下列存储器系统的最高地址为多少?

(1)2K×1 (7FFH)

(2)16K×4 (3FFFH)

(3)256K×32 (3FFFFH)

第九章脉冲单元电路

1、脉冲信号产生器和脉冲变换的基本单元电路有哪些?多谐振荡器、单稳触发器、施密特触发器和555定时器。

2、555定时器由哪些部分?它用来构成哪些基本单元电路? 555定时器有什么用途?555定时器内部由电阻分压器、电压比较器、基本RS触发器以及放电管和门电路等部分构成。用555定时器可以构成单稳态触发器、自激多谐振荡器、施密特触发器等。

3、施密特触发器具有什么特点?施密特触发器具有两个特点:一是属于电平触发,对于缓慢变化的信号仍然适用,当输入信号达到某一定电压值时,输出电压会发生突变;二是输入信号增加或减少时,电路有不同的阈值电压。

第十章D/A、A/D转换

1、什么是A/DC、D/AC?它的作用是什么?A/DC为模/数转换器,D/AC为数/模转换器,这两种电路是数字技术中不可缺少的两个基本电路,数字信号和模拟信号相互转换,起一个桥梁作用的电路。2、倒T形电阻网络D/A转换器由几部分组成,它有什么特点?倒T形电阻网络D/A转换器由三部分组成:模拟电子开关,电阻网络,求和放大器。与其他的D/A转换器相比,它具有转换速度快,而且减小了转换过程中尖脉冲的干扰。

3、什么是采样-保持、量化、编码?在A/D转换过程中,通常要经历采样-保持、量化、编码等过程。

取样(采样)是将随时间连续变化的模拟量转换为时间离散的模拟量。保持:离散的模拟量转化为数字信号需要一定的时间,为了给量化编码过程提供一稳定性,故必须把离散的模拟量保持一段时间。

量化:反离散的模拟量化为某一最小量单位的整倍数,这一过程称量化。编码:把量化后的数值用代码表示出来,这一过程称编码。

4、D/A转换器、A/D转换器主要技术指标是什么?转换精度和转换速度。

5、D/A转换器按解码网络结构不同可分几种形式?T形电阻网络D/A转换器、权电阻D/A转换器和权电阻网络D/A转换器。

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

《电子线路实验》教学大纲数字电路实验

《电子线路实验》教学大纲 数字电路实验 课程编号: 课程名称:电子线路实验(数字)英文名称:Experiments of Electronics Circuits 学时:20(30)学分:1 课程类型:必修课程性质:公共基础课 适用专业:通院、电院、机电院各专业先修课程:模拟、数字、高频电路 开课学期:第四和第五学期开课院系:电工电子实验中心、通信工程、电子工程学院 一、课程的教学目标与任务 通过电子线路实验,培养学生的基本实验技能,加深对低频、高频和数字电路理论的理解,学会常用仪器仪表的原理和使用。使学生掌握常用电子线路的设计、组装、调整和测试技能,并初步具备工程实践能力,以及应用计算机仿真软件分析与设计实验电路的能力。 二、本课程与其它课程的联系和分工 本课程是通信工程学院、电子工程学院、机电工程学院各专业共同必修的技术基础实践教学课。是模拟电子线路、数字电路、高频电子线路理论课教学的延伸,是培养学生实际工作能力,启发创新意识的重要环节。 三、课程内容及基本要求 实验一:基本门测试及振荡器研究实验(4学时) 熟悉基本门电路的工作原理;学会基本门的测试;熟悉用基本门构成振荡电路;掌握用示波器观察和测量振荡器各级的波形及其参数的方法;学会频率计的正确使用。 实验二:组合逻辑电路设计(基本)(4学时) 1)掌握组合逻辑电路的设计方法。 2)进一步熟悉和掌握集成电路功能测试方法。 3)学习组合逻辑电路中故障的查找、排除方法及整个电路的调试。 实验三:编码器与译码器(4学时) 1)学习中规模集成编码器和译码器的工作原理、器件结构和使用方法; 2)掌握编码器和译码器的工作原理和设计方法。 实验三:时序逻辑电路实验(基本)(4学时) 1)熟悉常用触发器、计数器、移位寄存器的工作原理、逻辑功能和译码显示原理。 2)学会用现有集成计数器组成N进制计数器。 实验四:综合实验I(基本)(4(8)学时)

数字电子技术教学大纲

电子技术应用专业数字电子技术模块教学大纲 一、说明: 1、大纲的编写依据:“国务院关于大力推进职业教育改革与发展的决定”, 结合“教育部关于加快发展职业教育的意见”;根据“以服务为宗旨、以就业为导向”的指导思想,结合学院开展模块式一体化教学改革的实际情况;编写了“电子技术”模块教学大纲。 2、模块的性质和内容:“数字电子技术”模块是电子技术专业的专业基础课程。其任务是使学生具备从事本专业职业工种必须的电子通用技术基本知识、基本方法、基本技能,并为学生学习后续课程,提高全面素质,形成综合职业能力打下基础。“数字电子技术基础”模块是电子技术专业的先导模块。本模块教学项目有三个: 项目一:“三人表决器的逻辑电路设计与制作”;项目二:“一位十进制加法计算器电路设计与制作”项目三:“数字钟的电路设计与制作”。 3、模块的任务和要求:项目一“三人表决器的逻辑电路设计与制作”。主要任务是:学习逻辑代数、逻辑函数基本知识;常用逻辑门电路;组合逻辑门电路的设计方法。具体要求:掌握TTL、CMOS的识别与测试;掌握三人表决器逻辑电路的设计方法和制作技巧。项目二:“一位十进制加法计算器的逻辑电路设计与制作”。主要任务是:学习数制及其转换的基本知识;学习编码器、译码器、选示器的基本知识;学习半加器与全加器的基本知识。具体要求:理解编码器、译码器、驱动示器逻辑电路的工作原理;掌握一位十进制加法计算器的逻辑电路设计与制

作。项目三:“数字钟的设计与制作”。主要任务是:学习触发器的基本知识;学习分频电路的结构与工作原理;学习二十四进制、六十进制计数器的组成工作原理。具体要求:掌握集成触发器的测试;掌握555振荡器的制作与测试;掌握数字钟的安装与调试。 4、教学方法的应用:本模块采用项目教学法,每一项目安排多个任务,任务中有学习目标、工作任务、知识链接点、实验实习、技能训练、思考与练习。教师使用操作示范、演示讲解、多媒体等多种教学方法,学生在做中学、学中做,学会模仿、讨论、评析、竞争,激发学生的学习兴趣和热情,以提高教学效果。 5、模块的适用范围及对教师的要求:本模块适应应用电子技术专业、教学条件已在教学方案中说明。教师应具备电类本科毕业或电类中级以上职称。实训操作需一名辅助教师作准备。 6、建议选用教材或讲义及参考资料 主要教材:建议选用讲义 参考资料:《新编电子技术项目教程》李传珊主编 电子工业出版社 二、学时分配表

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

模拟和数字电路实验(I)教学大纲

《模拟和数字电路实验(I)》教学大纲 《模拟和数字电路实验I》中实验教学内容分低频电路和高频电路,根据教学要求,制定本大纲。本大纲适用电子科学与信息专业本科生,其它专业的相关课程可以参考本大纲。 《模拟和数字电路实验I》课程计划1.5个学分,48课时,低频电路实验部分计划36学时,高频电路实验部分计划12学时。实验内容在保留了传统的验证性实验的基础上,增设了计算机仿真实验,如EWB,PSPICE等操作软件的应用。 实验课程名称:模拟和数字电路实验I实验课程性质:专业基础 实验室名称:模拟电路实验室本大纲主撰人:钱晓英 实验课程编号: 适用专业:电子科学与信息专业 开设实验项目数:17个 一、实验教学目标与要求: 1.巩固和加深电子线路的基础理论和基本概念,学会灵活应用电子线路的技能。 2.熟悉常用电子元件和器件的性能,掌握基本测量方法和使用方法。 3.通过实验能正确且较熟练地掌握常用仪器如示波器、毫伏表、高、低频信号发生器、失真度仪、数字式频率计、晶体管特性图示仪……等基本工作原理和性能,掌握调节和使用方法。 4.掌握电子线路基本参数的测量原理和方法。 5.掌握电子线路安装、调整技术,培养分析、判断电路故障的能力和解决问题的方法。6.了解EDA知识,掌握用EWB、PSPISE等软件分析电路的方法。 二、考核办法: 1、实验成绩由两部分组成:平时分和考试分。 2、平时分占总分的70℅。平时分的考核标准为实验内容的完成情况,实验报告情况。 3、考试分占总分的30℅。考试形式可以是操作考试,也可以是笔试。 三、课程内容和课时分配

注:实验项目19个,54课时,打“*”为选做项目,可以根椐要求选择,完成48课时的教学任务。 二、实验教科书、参考书 (一)教科书 1、《TPE—A型系列模拟电路实验箱》使用说明书 2、《模拟电路实验指导书》清华大学科教仪器厂 (二)参考书 1、《模拟电子线路》华中师范大学物理系万嘉若林康运等编 2、《综合电子技术问答》汪源睿编著科学出版社 3、《电子技术基础》(模拟部分)华中工学院电子教研室康华光高等教育出版社 4、《模拟电子技术基础简明教程》清华大学电子学教研室编 5、《电子线路(非线性部分)》谢嘉奎宣月清编 2003年7月订

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电子技术期末考试试题含答案

《数字电子技术》考试试卷(第一套)课程号2904025035 考试时间100 分钟 (注:集成电路CD4532、74HC138、74HC151的功能见附表) 一、填空题(共28分) 1、(2分)(5E.8)H=(94.5 )D=(10010100.0101 )8421BCD。 2、(2分)逻辑函数L = + A+ B+ C +D = (1 )。 3、(2分)由传输门构成的电路如下图所示,当A=0时,输出L= B 。 4、(2分)三态门可能输出的三种状态是低电平、高电平和高阻态_。 5、(3分)A/D转换器一般要经过_ 采样__、保持、量化和__编码__这4个步骤,A/D转换器的转换速度主要取决于转换类型。对双积分型A/D 转换器、并行比较型A/D转换器和逐次比较型A/D转换器的相对速度进行比较,转换速度最快的是_并行比较型A/D转换器__。 适用专业年级(方向):应用物理、电信科技2010级 考试方式及要求:闭卷笔试

6、(2分)集成优先编码器CD4532(功能表见后)正常接电源和地,且待编码信号输入端I 0 =I 2 = I 6 = I 7 = 1,输入使能端EI=1,其余输入端为0,其输出Y 2Y 1Y 0为 111 。 7、(3分)集成数据选择器74HC151构成的电路如下图所示,则其输出 Y= ABC BC A C B A ++?? 。(注:不需化简) 01 8、(3分)某PLA 电路如下图所示,其输出逻辑函数表达式X= C B A C B A ABC +?+ 。 9、(2分)某单极性输出的8位D/A 转换器正常工作,当输入数字量为 (10101010)B 时,其输出电压为3.4V ,当输入数字量为(10101100) B 时,其输出电压为 3.44 V 。 10、(2分)一个存储容量为4K ×4的存储器有 214 个存储单元,若用该存储器构成32K ×8的存储系统,则需 16 片4K ×4的存储器。 11、(3分)对于JK 触发器,当1J K ==时,n+1Q = 1 ,当1 J K ==

数字集成电路教学大纲

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

数字电路实验讲义

实验一KHD-2型数字电路实验装置的使用和 集成门电路逻辑功能的测试 一、实验目的 1.熟悉和掌握KHD-2型数字电路实验装置的使用。 2.熟悉74LS20和74LS00集成门电路的外形和管脚引线。 3.掌握与门、或门、非门、与非门、或非门和异或门逻辑功能的测试。 二、实验器材及设备 1.KHD-2数字电路实验台 2.4输入2与非门74LS20(1块) 3.2输入4与非门74LS00或CC4011(1块) 三、实验原理 (一)KHD-2型数字电路实验台 KHD-2型数字电路实验台由实验控制屏与实验桌组成。实验控制屏主要由两块单面敷铜印刷线路板与相应电源、仪器仪表等组成。控制屏由两块相同的数电实验功能板组成,其控制屏两侧均装有交流电压220V的单相三芯电源插座。每块实验功能板上均包含以下各部分内容: 1.实验板上装有一只电源总开关及一只熔断器(额定电流为1A)作为短路保护用。 2.实验板上共装有600多个高可靠的自锁紧式、防转、叠插式插座。它们与集成电路插座、镀银针管座以及其他固定器件、线路的连线已设计在印刷线路板上。板正面印有黑线条连接的器件,表示反面已装上器件并接通。 3.实验板上共装有200多根镀银长15mm的紫铜针管插座,供实验时接插小型电位器、电阻、电容、三极管及其他电子器件使用。 4.实验板上装有四路直流稳压电源(±5V、1A及两路0~18V、0.75A可调的直流稳 压电源)。实验板上标有处,是指实验时需用导线将直流电源+5V引入该处,是+5V 电源的输入插口。 5.高性能双列直插式圆集成电路插座18只(其中40P 1只、28P 1只、24P 1只、20P 1只、16P 5只、14P 6只、8P 2只、40P锁紧座1只)。 6.6位十六进制七段译码器与LED数码显示器:每一位译码器均采用可编程器件GAL 设计而成,具有十六进制全译码功能。显示器采用LED共阴极红色数码管(与译码器在反面已连接好),可显示四位BCD十六进制的全译码代号:0、1、2、3、4、5、6、7、8、9、A、B、C、D、E和F。 使用时,只要用锁紧线将+5V在没有BCD码输入时六位译码器均显示“F”。 7.四位BCD码十进制拔码开关组:每一位的显示窗指示出0~9中的任一个十进制数字,在A、B、C、D四个输出插口处输出相对应的BCD码。每按动一次“+”或“ ”键,将顺序地进行加1计数或减1计数。 若将某位拔码开关的输出口A、B、C、D连接在“2”的一位译码显示的输入端口A、B、C、D处,当接通+5V电源时,数码管将点亮显示出与拔码开关所指示一致的数字。

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子期末考试练习题

第一部分 门电路 一、 填空题 1. 数字集成电路按开关元件不同,可分为 TTL 集成电路 和 CMOS 集成电路 两大类。 2. 数字电路中的三种基本逻辑门电路是 与门 、 或门 、 非门 。 3.三态门是在普通门的基础上增加 控制 电路构成的,它的三种输出状态是 高电平、 低电平 和 高阻态 。 4. 与门、与非门的闲置输入端应接 高 电平;或门、或非门的闲置输入端应接 低 电平。 5. 图1所示三态门在1EN =时,Y 的输出状态是 高阻态 。 6. 利用TTL 与非门实现输出线与应采用 OC 门,实现总线传输应采用 三态 门。 7. 图2为几种常见逻辑门电路的逻辑符号,试分别写出其名称和逻辑表达式。 名称 逻辑表达式 名称 逻辑表达式 (a ) 与门 Y=AB ; (b ) 非门 ; (c ) 与非门 ; (d ) 或非门 。 8. 当决定某一件事情的多个条件中有一个或一个以上具备时,该件事情就会发生,这种关系称为 或 逻辑关系。 二、 选择题 1. 下列几种逻辑门中,能用作反相器的是 C 。 A. 与门 B. 或门 C. 与非门 2. 下列几种逻辑门中,不能将输出端直接并联的是 B 。 A. 三态门 B. 与非门 C. OC 门 3. TTL 与非门的输入端在以下四种接法中,在逻辑上属于输入高电平的是 C 。 A. 输入端接地 B. 输入端接同类与非门的输出电压 C. 输入端经10k Ω电阻接地 D. 输入端经51Ω电阻接地 4. TTL 与非门的输入端在以下4种接法中,在逻辑上属于输入低电平的是 D 。 A. 输入端经10k Ω电阻接地 B. 输入端接同类与非门的输出电压 C. 输入端悬空 D. 输入端经51Ω电阻接地 5. 逻辑电路如图3所示,该电路实现的逻辑关系为 C 。 A. Y AB = B. Y AB = C. Y AB = D. Y A B =+ EN EN & ▽ Y A B 图1 填空题5用图 & A B Y (a Y A B & Y A B ≥1 (d) (c) 图2 填空题7用图 1 A (b

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字电路实验讲义

数字电路实验讲义 目录 1 数字电路实验箱简介 2 实验一基本门电路和触发器的逻辑功能测试 3 实验二常用集成组合逻辑电路(MSI)的功能测试及应用 4 实验三常用中规模集成时序逻辑电路的功能及应用 5 实验四组合逻辑电路的设计 6 实验五时序逻辑电路的设计 7 实验六综合设计实验 8 附录功能常用芯片引脚图

数字电路实验箱简介 TPE系列数字电路实验箱是清华大学科教仪器厂的产品,该实验箱提供了数字电路实验所必需的基本条件。如电源,集成电路接线板,逻辑电平产生电路,单脉冲产生电路和逻辑电平测量显示电路,实验箱还为复杂实验提供了一些其他功能。 下面以JK触发器测试为例说明最典型的测试电路,图1为74LS112双JK触发器的测试电路。其中Sd、Rd 、J、K为电平有效的较入信号,由实验箱的逻辑电平产生电路提供。CP为边沿有效的触发信号,由单脉冲产生电路提供。Q和为电路的输出,接至逻辑电平测量显示电路,改变不同输入的组合和触发条件,记录对应的输出,即可测试该触发器的功能。 逻辑电平测量显示 图1. JK触发器测试电路

实验一 基本门电路和触发器的逻辑功能测试 一、 实验目的 1、掌握集成芯片管脚识别方法。 2、掌握门电路逻辑功能的测试方法。 3、掌握RS 触发器、JK 触发器的工作原理和功能测试方法。 二、实验设备与器件 1、数字电路实验箱 2、万用表 3、双列直插式组件 74LS00:四—2输入与非门 74LS86:四—2输入异或门 74LS112:双J-K 触发器 三、实验原理与内容 1、测试与非门的逻辑功能 74LS00为四—2输入与非门,在一个双列直插14引脚的芯片里封装了四个2输入与非门,引脚图见附录。14脚为电源端,工作时接5V,7脚为接地端,1A ,113和1Y 组成一个与非门, B A Y 111?=。剩余三个与非门类似。按图1—1连接实验电路。改变输信号,测量对应输出, 填入表1—1中,验证其逻辑功能。 测 量 显 示 逻 辑 电 平 图1—1 74LS00测试电路

相关文档
最新文档