运算放大器电路分析详解

运算放大器电路分析详解
运算放大器电路分析详解

透解放大器

遍观所有模拟电子技朮的书籍和课程,在介绍运算放大器电路的时候,无非是先给电路来个定性,比

如这是一个同向放大器,然后去推导它的输出与输入的关系,然后得出Vo=(1+Rf)Vi,那是一个反向放大器,

然后得出Vo=-Rf*Vi ?…最后学生往往得出这样一个印象:记住公式就可以了!如果我们将电路稍稍变换一下,他们就找不着北了!偶曾经面试过至少 100 个以上的大专以上学历的电子专业应聘者,结果能将我给出的运算放大器电路分析得一点不错的没有超过 10 个人!其它专业毕业的更是可想而知了。

今天,芯片级维修教各位战无不胜的两招,这两招在所有运放电路的教材里都写得明白,就是“虚短和“虚断”,不过要把它运用得出神入化,就要有较深厚的功底了。

虚短和虚断的概念

由于运放的电压放大倍数很大,一般通用型运算放大器的开环电压放大倍数都在80 dB以上。而运放

的输出电压是有限的,一般在 10 V~ 14 V。因此运放的差模输入电压不足 1 mV,两输入端近似等电位,相当于“短路”。开环电压放大倍数越大,两输入端的电位越接近相等。

“虚短”是指在分析运算放大器处于线性状态时,可把两输入端视为等电位,这一特性称为虚假短路,简称虚短。显然不能将两输入端真正短路。

由于运放的差模输入电阻很大,一般通用型运算放大器的输入电阻都在1M D以上。因此流入运放输入

端的电流往往不足1uA,远小于输入端外电路的电流。故通常可把运放的两输入端视为开路,且输入电阻

越大,两输入端越接近开路。“虚断”是指在分析运放处于线性状态时,可以把两输入端视为等效开路,这一特性称为虚假开路,简称虚断。显然不能将两输入端真正断路。

在分析运放电路工作原理时,首先请各位暂时忘掉什么同向放大、反向放大,什么加法器、减法器,

什么差动输入……暂时忘掉那些输入输出关系的公式……这些东东只会干扰你,让你更糊涂;也请各位暂时不要理会输入偏置电流、共模抑制比、失调电压等电路参数,这是设计者要考虑的事情。我们理解的就是理想放大器(其实在维修中和大多数设计过程中,把实际放大器当做理想放大器来分析也不会有问题)。

好了,让我们抓过两把“板斧” -- “虚短”和“虚断”,开始“庖丁解牛”了。

(原文件名:

图一运放的同向端接地 =0V,反向端和同向端虚短,所以也是0V,反向输入端输入电阻很高,虚断,

几乎没有电流注入和流出,那么R1和R2相当于是串联的,流过一个串联电路中的每一只组件的电流是相同的,即流过R1的电流和流过R2的电流是相同的。流过R1的电流11 = (Vi - V-)/R1……a流过R2的电流12 = (V

--Vout)/R2……bV- = V+ = 0……cI1 = I2……d求解上面的初中代数方程得Vout = (-R2/R1)*Vi这就是传说中的

反向放大器的输入输岀关系式了。

(原文件名:

图二中V与V-虚短,则Vi = V-……a因为虚断,反向输入端没有电流输入输出,通过 R1和R2的电流

b i等于R2上的分压,即:Vi = I*R2……c由ab

c 相等,设此电流为I,由欧姆定律得:I = Vout/(R1+R2)

(原文件名:

图三中,由虚短知:V- = V+ = 0……a由虚断及基尔霍夫定律知,通过R2与R1的电流之和等于通过 R3

的电流,故(V1 — V-)/R1 + (V2 —V-)/R2 = (Vout —V-)/R3 ……b弋入 a 式, b 式变为 V1/R1 + V2/R2 = Vout/R3 女口

(原文件名:

请看图四。因为虚断,运放同向端没有电流流过,则流过R1和R2的电流相等,同理流过 R4和R3的

电流也相等。故 (V1 -V+)/R1 = (V+ - V2)/R2……a(Vout -V-)/R3 = V-/R4……b由虚短知: V+ = V-……c如果R1 = R2, R3=R4,则由以上式子可以推导出V+ = (V1 + V2)/2 V- = Vout/2故Vout = V1 + V2也是一个加法器,呵呵!

(原文件名:

图五由虚断知,通过 R1的电流等于通过 R2的电流,同理通过 R4的电流等于R3的电流,故有(V2 —

+)/R1 = V+/R2 ……a(V1 —V-)/R4 = (V- - Vout)/R3 ……b如果 R1=R2,贝U V+ = V2/2 ……C口果 R3=R4,贝U V- = (Vo ut + V1)/2……d由虚短知V+ = V-……e所以Vout=V2-V1这就是传说中的减法器了。

(原文件名:

图六电路中,由虚短知,反向输入端的电压与同向端相等,由虚断知,通过R1的电流与通过C1的电

流相等。通过 R1 的电流 i=V1/R1 通过 C1 的电流 i=C*dUc/dt=-C*dVout/dt 所以 Vout=((-1/(R1*C1)) /V1输出电压与输入电压对时间的积分成正比,这就是传说中的积分电路了。若V1为恒定电压U,则上式变换为 Vo

ut = -U*t/(R1*C1) t是时间,则Vout输出电压是一条从 0至负电源电压按时间变化的直线。

(原文件名:

图七中由虚断知,通过电容C1和电阻R2的电流是相等的,由虚短知,运放同向端与反向端电压是相

等的。则:Vout = -i * R2 = -(R2*C1)dV1/dt这是一个微分电路。如果V1是一个突然加入的直流电压,则输

出Vout对应一个方向与 V1相反的脉冲。

图八.由虚短知Vx = V1……aVy = V2……b 由虚断知,运放输入端没有电流流过,则

R1、R2、R3可视为

串联,通过每一个电阻的电流是相同的, 电流l=(Vx-Vy)/R2……c 则:Vo1-Vo2=l*(R1+R2+R3) = (Vx-Vy)(R1+R2 +R3)/R2……d 由虚断知,流过 R6与流过R7的电流相等 若R6=R7,则Vw = Vo2/2……e 同理若R4=R5,则V out -Vu = Vu -Vol,故 Vu = (Vout+Vo1)/2 ……由虚短知,Vu = Vw ……g 由 efg 得 Vout = Vo2 -Vol ……h 由 dh 得Vout = (Vy -x)(R1+R2+R3)/R2上式中(R1+R2+R3)/R2是定值,此值确定了差值 (Vy -x)的放大倍数。这个 电路就是传说中的差分放大电路了。 R200 I (原文件名:

(原文件名:

分析一个大家接触得较多的电路。很多控制器接受来自各种检测仪表的0?20mA或4?20mA电流,电

路将此电流转换成电压后再送ADC转换成数字信号,图九就是这样一个典型电路。如图4?20mA电流流过

采样100Q电阻R1,在R1上会产生?2V的电压差。由虚断知,运放输入端没有电流流过,则流过R3和R5

的电流相等,流过R2和R4的电流相等。故:(V2-Vy)/R3 = Vy/R5……a(V1-Vx)/R2 = (Vx-Vout)/R4……b由虚短知:Vx = Vy……c电流从0~20mA变化,则 V1 = V2 + ~2)……d由cd式代入b式得(V2 + ~2)-Vy)/R2 = (Vy-Vout)

/R4 ……e如果 R3=R2, R4=R5 则由 e-a 得 Vout = -~2)R4/R2 ……图九中 R4/R2=22k/10k=,贝U f 式 Vout =-?V,即是说,将4~20mA电流转换成了?电压,此电压可以送 ADC去处理。

(原文件名:

电流可以转换成电压,电压也可以转换成电流。图十就是这样一个电路。上图的负反馈没有通过电阻直接反馈,而是串联了三极管Q1的发射结,大家可不要以为是一个比较器就是了。只要是放大电路,虚短虚断的规律仍然是符合的!

由虚断知,运放输入端没有电流流过,

贝 U (V-V1)/R2 = (V1 — V4)/R6 ……a

同理(V3-V2)/R5 = V2/R4 ……b

由虚短知V1 = V2……c

如果 R2=R6, R4=R5,则由 abc 式得 V3-V4=Vi

上式说明R7两端的电压和输入电压 Vi相等,则通过R7的电流I=Vi/R7,如果负载RLvvIOO Q,则通过Rl和通过R7的电流基本相同

(原文件名:

来一个复杂的,呵呵!图^一是一个三线制PT100前置放大电路。PT100传感器引出三根材

质、线径、长度完全相同的线,接法如图所示。有2V的电压加在由 R14 R20、R15、Z1、

PT100及其线电阻组成的桥电路上。Z1、Z2、Z3、D11、D12、D83及各电容在电路中起滤波

和保护作用,静态分析时可不予理会,Z1、Z2、Z3可视为短路,D11、D12、D83及各电容

可视为开路。由电阻分压知,V3=2*R20/(R14+20)=200/1100=2/11……a由虚短知,U8B第6、

7脚电压和第5脚电压相等V4=V3……b由虚断知,U8A第2脚没有电流流过,则流过 R18 和R19上的电流相等。(V2-V4)/R19=(V5-V2)/R18……c由虚断知,U8A第3脚没有电流流过,V仁V7……d在桥电路中 R15和Z1、PT100及线电阻串联,PT100与线电阻串联分得的电压通过电阻 R17加至U8A的第3脚,V7=2*(Rx+2R0)/(R15+Rx+2R0)…..e由虚短知,U8A 第3脚和第2脚电压相等,V仁V2……由abcdef得,(V5-V7)/100=(V7-V3)/化简

得 V5=*V7-100V3)/ 即 V5=(Rx+2R0)/(1000+Rx+2R0)-200/11 ……gh式输出电压 V5 是 Rx的函数我们再看线电阻的影响。 Pt100最下端线电阻上产生的电压降经过中间的线电阻、Z2、R22, 加至U8C的第10脚,由虚断

知,V5=V8=V9=2*R0/(R15+Rx+2R0)……a(V6-V10)/R25=V10/R26 ……b 由虚短知,V10=V5……c由式abc得V6=V5=[(1000+Rx+2R0)]……h由式gh组成的方程组知,如果测出V5、V6的值,就可算出 Rx

及RO,知道Rx,查ptIOO分度表就知道温度的大小了。

精心收集:单电源供电时的运算放大器应用大全

单电源运算放大器应用集锦 (一):基础知识 我们经常看到很多非常经典的运算放大器应用图集,但是这些应用都建立在双电源的基础上,很多时候,电路的设计者必须用单电源供电,但是他们不知道该如何将双电源的电路转换成单电源电路。 在设计单电源电路时需要比双电源电路更加小心,设计者必须要完全理解这篇文章中所述的内容。 1.1 电源供电和单电源供电 所有的运算放大器都有两个电源引脚,一般在资料中,它们的标识是VCC+和VCC-,但是有些时候它们的标识是VCC+和GND。这是因为有些数据手册的作者企图将这种标识的差异作为单电源运放和双电源运放的区别。但是,这并不是说他们就一定要那样使用――他们可能可以工作在其他的电压下。在运放不是按默认电压供电的时候,需要参考运放的数据手册,特别是绝对最大供电电压和电压摆动说明。 绝大多数的模拟电路设计者都知道怎么在双电源电压的条件下使用运算放大器,比如图一左边的那个电路,一个双电源是由一个正电源和一个相等电压的负电源组成。一般是正负15V,正负12V和正负5V 也是经常使用的。输入电压和输出电压都是参考地给出的,还包括正负电压的摆动幅度极限Vom以及最大输出摆幅。 单电源供电的电路(图一中右)运放的电源脚连接到正电源和地。正电源引脚接到VCC+,地或者VCC -引脚连接到GND。将正电压分成一半后的电压作为虚地接到运放的输入引脚上,这时运放的输出电压也是该虚地电压,运放的输出电压以虚地为中心,摆幅在Vom 之内。有一些新的运放有两个不同的最高输出电压和最低输出电压。这种运放的数据手册中会特别分别指明Voh 和Vol 。需要特别注意的是有不少的设计者会很随意的用虚地来参考输入电压和输出电压,但在大部分应用中,输入和输出是参考电源地的,所以设计者必须在输入和输出的地方加入隔直电容,用来隔离虚地和地之间的直流电压。(参见1.3节) 图一 通常单电源供电的电压一般是5V,这时运放的输出电压摆幅会更低。另外现在运放的供电电压也可以是3V 也或者会更低。出于这个原因在单电源供电的电路中使用的运放基本上都是Rail-To-Rail 的运放,这样就消除了丢失的动态范围。需要特别指出的是输入和输出不一定都能够承受Rail-To-Rail 的电压。虽然器件被指明是轨至轨(Rail-To-Rail)的,如果运放的输出或者输入不支持轨至轨,接近输入或者接近输出电压极限的电压可能会使运放的功能退化,所以需要仔细的参考数据手册是否输入和输出是否都是轨至轨。这样才能保证系统的功能不会退化,这是设计者的义务。

运算放大器组成的各种实用电路

运算放大器组成的电路五花八门,令人眼花瞭乱,是模拟电路中学习的重点。在分析它的工作原理时倘没有抓住核心,往往令人头大。为此本人特搜罗天下运放电路之应用,来个“庖丁解牛”,希望各位从事电路板维修的同行,看完后有所斩获。 遍观所有模拟电子技朮的书籍和课程,在介绍运算放大器电路的时候,无非是先给电路来个定性,比如这是一个同向放大器,然后去推导它的输出与输入的关系,然后得出Vo=(1+Rf)Vi,那是一个反向放大器,然后得出Vo=-Rf*Vi……最后学生往往得出这样一个印象:记住公式就可以了!如果我们将电路稍稍变换一下,他们就找不着北了!偶曾经面试过至少100个以上的大专以上学历的电子专业应聘者,结果能将我给出的运算放大器电路分析得一点不错的没有超过10个人!其它专业毕业的更是可想而知了。 今天,芯片级维修教各位战无不胜的两招,这两招在所有运放电路的教材里都写得明白,就是“虚短”和“虚断”,不过要把它运用得出神入化,就要有较深厚的功底了。 虚短和虚断的概念 由于运放的电压放大倍数很大,一般通用型运算放大器的开环电压放大倍数都在80 dB以上。而运放的输出电压是有限的,一般在 10 V~14 V。因此运放的差模输入电压不足1 mV,两输入端近似等电位,相当于“短路”。开环电压放大倍数越大,两输入端的电位越接近相等。 “虚短”是指在分析运算放大器处于线性状态时,可把两输入端视为等电位,这一特性称为虚假短路,简称虚短。显然不能将两输入端真正短路。 由于运放的差模输入电阻很大,一般通用型运算放大器的输入电阻都在1MΩ以上。因此流入运放输入端的电流往往不足1uA,远小于输入端外电路的电流。故通常可把运放的两输入端视为开路,且输入电阻越大,两输入端越接近开路。“虚断”是指在分析运放处于线性状态时,可以把两输入端视为等效开路,这一特性称为虚假开路,简称虚断。显然不能将两输入端真正断路。 在分析运放电路工作原理时,首先请各位暂时忘掉什么同向放大、反向放大,什么加法器、减法器,什么差动输入……暂时忘掉那些输入输出关系的公式……这些东东只会干扰你,让你更糊涂﹔也请各位暂时不要理会输入偏置电流、共模抑制比、失调电压等电路参数,这是设计者要考虑的事情。我们理解的就是理想放大器(其实在维修中和大多数设计过程中,把实际放大器当做理想放大器来分析也不会有问题)。 好了,让我们抓过两把“板斧”------“虚短”和“虚断”,开始“庖丁解牛”了。 (原文件名:1.jpg)

三运放仪表放大器

三运放仪表放大器 摘要 本系统采用三个OP07双电源单集成运放芯片构成仪表放大器,此放大器能调节将输入差模信号放大100至200倍,同时具有高输入电阻和高共模抑制比,对不同幅值信号具有稳定的放大倍数;电源部分由变压器、整流桥、7812、7912、7805等线性电源芯片组成,可输出+5V、+12V、-12V三路电压。 一、方案论证与比较 1.放大器电源的制作方法 方案一:本三运放仪表放大器系统采用集成运放OP07,由于OP07是双电源放大器,典型电源电压为,可方便采用市售开关电源或者开关电源芯片制作电源作为OP07的电源 输入,开关电源具有的效率高,体积小,散热小,可靠性高等特点,但是因为其内部构造特性,使输出电压带有一定的噪声干扰,不能输出纯净稳定的电压。 方案二:采用线性电源稳压芯片78系列和79系列制作线性电源,使用多输出抽头变压器接入整流桥再接入稳压芯片,输出纯净的线性电源。 2.电源方案论证 本系统是一个测量放大系统,其信号要求纯净无噪声干扰,在系统中加入滤波器消除干扰的同时,我们应该考虑系统本身的干扰源并尽量降低干扰。考虑到开关电源的输出电压不是十分纯净的,带有许多噪声干扰,而线性电源可以稳定输出电压值,虽然线性电源体积较大,效率较低,但是作为测量系统中,我们采用方案二来提高测量的精准度。 3.放大器制作方法 方案一:题目要求使输入信号放大100至200倍,可使用单运放构成比例运算放大电路,按负反馈电阻比例运算进行放大,输出电压,此放大电路可以达到预定的放大 倍数,但是其对共模信号抑制较差,容易出现波形失真等问题。 方案二:采用三运放构成仪表放大器,这是一种对弱信号放大的一种常用放大器,输出电压。 4.放大器方案论证 在测量系统中,通常被测物理量均通过传感器转换为电信号,然后进行放大,因此,传感器的输出是放大器的信号源。然而,多数传感器的等效电阻均不是常量,他们随所测物理量的变化而变。这样,对于放大器而言信号源内阻是变量,放大器的放大能力将随信号的大小而变。为了保证放大器对不同幅值信号具有稳定的放大倍数,就必须使得放大器输入电阻加大,因信号源内阻变化而引起的放大误差就越小。 此外,传感器所获得的信号常为差模小信号,并含有较大的共模部分,期数值有时远大于差模信号。因此,要求放大器具有较强的共模信号抑制能力。 综上所述,采用方案二仪表放大器方案,仪表放大器除了具有足够的放大倍数外,还具有高输入电阻和高共模抑制比。 二、系统设计

(整理)运算放大器基本电路大全

运算放大器基本电路大全 运算放大器电路大全 我们经常看到很多非常经典的运算放大器应用图集,但是这些应用都建立在双电源的基础上,很多时候,电路的设计者必须用单电源供电,但是他们不知道该如何将双电源的电路转换成单电源电路。 在设计单电源电路时需要比双电源电路更加小心,设计者必须要完全理解这篇文章中所述的内容。 1.1 电源供电和单电源供电 所有的运算放大器都有两个电源引脚,一般在资料中,它们的标识是VCC+和VCC -,但是有些时候它们的标识是VCC+和GND。这是因为有些数据手册的作者企图将这种标识的差异作为单电源运放和双电源运放的区别。但是,这并不是说他们就一定要那样使用――他们可能可以工作在其他的电压下。在运放不是按默认电压供电的时候,需要参考运放的数据手册,特别是绝对最大供电电压和电压摆动说明。 绝大多数的模拟电路设计者都知道怎么在双电源电压的条件下使用运算放大器,比如图一左边的那个电路,一个双电源是由一个正电源和一个相等电压的负电源组成。一般是正负15V,正负12V和正负5V也是经常使用的。输入电压和输出电压都是参考地给出的,还包括正负电压的摆动幅度极限Vom以及最大输出摆幅。 单电源供电的电路(图一中右)运放的电源脚连接到正电源和地。正电源引脚接到VCC+,地或者VCC-引脚连接到GND。将正电压分成一半后的电压作为虚地接到运放的输入引脚上,这时运放的输出电压也是该虚地电压,运放的输出电压以虚地为中心,摆幅在Vom 之内。有一些新的运放有两个不同的最高输出电压和最低输出电压。这种运放的数据手册中会特别分别指明Voh 和Vol 。需要特别注意的是有不少的设计者会很随意的用虚地来参考输入电压和输出电压,但在大部分应用中,输入和输出是参考电源地的,所以设计者必须在输入和输出的地方加入隔直电容,用来隔离虚地和地之间的直流电压。(参见1.3节) 图一

第5章运算放大电路答案

习题答案 5.1 在题图5.1所示的电路中,已知晶体管V 1、V 2的特性相同,V U on BE 7.0,20)(==β。求 1CQ I 、1CEQ U 、2CQ I 和2CEQ U 。 解:由图5.1可知: BQ CQ BQ )on (BE CC I I R R I U U 213 1 1+=--即 11CQ11.01.4 2.7k 20I -7V .0-V 10CQ CQ I I k +=Ω Ω ? 由上式可解得1CQ I mA 2≈ 2CQ I mA I CQ 21== 而 1CEQ U =0.98V 4.1V 0.2)(2-V 1031=?+=+-R )I I (U BQ CQ CC 2CEQ U =5V 2.5V 2-V 1042=?=-R I U CQ CC 5.2 电路如题图5.2所示,试求各支路电流值。设各晶体管701.U ,)on (BE =>>βV 。 U CC (10V) V 1 R 3 题图5.1

解:图5.2是具有基极补偿的多电流源电路。先求参考电流R I , ()815 17 0266..I R =+?---=(mA ) 则 8.15==R I I (mA ) 9.0105 3== R I I (mA ) 5.425 4==R I I (mA ) 5.3 差放电路如题图5.3所示。设各管特性一致,V U on BE 7.0)(=。试问当R 为何值时,可满足图中所要求的电流关系? 解: 53010 7 0643..I I C C =-==(mA ) 则 I 56V 题图 5.2 R U o 题图5.3

2702 1 476521.I I I I I I C C C C C C == ==== mA 即 2707 065.R .I C =-= (mA ) 所以 61927 07 06...R =-= (k Ω) 5.4 对称差动放大电路如题图5.1所示。已知晶体管1T 和2T 的50=β,并设 U BE (on )=0.7V,r bb ’=0,r ce =。 (1)求V 1和V 2的静态集电极电流I CQ 、U CQ 和晶体管的输入电阻r b’e 。 (2)求双端输出时的差模电压增益A ud ,差模输入电阻R id 和差模输出电阻R od 。 (3)若R L 接V 2集电极的一端改接地时,求差模电压增益A ud (单),共模电压增益A uc 和共模抑制比K CMR ,任一输入端输入的共模输入电阻R ic ,任一输出端呈现的共模输出电阻R oc 。 (4) 确定电路最大输入共模电压围。 解:(1)因为电路对称,所以 mA ...R R .U I I I B E EE EE Q C Q C 52050 21527 062270221=+?-=+?-== = + V 1 V 2 + U CC u i1 u i2R C 5.1k ΩR L U o 5.1kΩ R C 5.1k Ω R E 5.1k Ω -6V R B 2k Ω 题图5.1 R B 2k Ω + - R L /2 + 2U od /2 + U id /2 R C R B V 1 (b) + U ic R C R B V 1 (c) 2R EE + U

三运放组成的仪表放大器电路分析

三运放组成的仪表放大器电路分析 仪表放大器与运算放大器的区别是什么? 仪表放大器是一种具有差分输入和相对参考端单端输出的闭环增益单元。大多数情况下,仪表放大器的两个输入端阻抗平衡并且阻值很高,典型值≥109 ?。其输入偏置电流也应很低,典型值为 1 nA至 50 nA。与运算放大器一样,其输出阻抗很低, 在低频段通常仅有几毫欧(m?)。运算放大器的闭环增益是由其反向输入端和输 出端之间连接的外部电阻决定。与放大器不同的是,仪表放大器使用一个内部反馈电阻网络,它与其信号输入端隔离。对仪表放大器的两个差分输入端施 加输入信号,其增益既可由内部预置,也可由用户通过引脚连接一个内部或者外部增益电阻器设置,该增益电阻器也与信号输入端隔离。 专用的仪表放大器价格通常比较贵,于是我们就想能否用普通的运放组成仪表放大器?答案是肯定的。 使用三个普通运放就可以组成一个仪用放大器。电路如下图所示: 输出电压表达式如图中所示。 看到这里大家可能会问上述表达式是如何导出的?为何上述电路可以实现仪表放大器?下面我们就将探讨这些问题。在此之前,我们先来看如下我们很熟悉的差分电路: 如果R1 = R3,R2 = R4,则VOUT = (VIN2—VIN1)(R2/R1) 这一电路提供了仪表放大器功能,即放大差分信号的同时抑制共模信号,但它也有些缺陷。首先,同相输入端和反相输入端阻抗相当低而且不相等。在这一例子中VIN1反相输入阻抗等于 100 k?,而VIN2同相输入阻抗等于反相输入阻抗的两倍,即200 k?。因此,当电压施加到一个输入端而另一端接

地时,差分电流将会根据输入端接收的施加电压而流入。(这种源阻抗的不平衡会降低电路的CMRR。)另外,这一电路要求电阻对R1 /R2和R3 /R4的比值匹配得非常精密,否则,每个输入端的增益会有差异,直接影响共模抑制。例如,当增益等于 1 时,所有电阻值必须相等,在这些电阻器中只要有一只电阻值有 0.1% 失配,其CMR便下降到 66 dB(2000:1)。同样,如果源阻抗有 100 ?的不平衡将使CMR下降 6 dB。 为解决上述问题,我们在运放的正负输入端都加上电压跟随器以提高输入阻抗。如下图所示: 以上前置的两个运放作为电压跟随器使用,我们现在改为同相放大器,电路如下所示: 输出电压表达式如上图所示。上图所示的电路增加增益(A1 和 A2)时, 它对差分信号增加相同的增益,也对共模信号增加相同的增益。也就是说,上述电路相对于原电路共模抑制比并没有增加。 下面,要开始最巧妙的变化了!看电路先:

单电源运放电路图集

单电源运放图集 前言 前段时间去福州出差,看到TI的《A Single-Supply Op-Amp Circuit Collection》这篇文章,觉得不错,就把它翻译了过来,希望能对大家有点用处。这篇文章没有介绍过多的理论知识,想要深究的话还得找其他的文章,比如象这里提到过的《Op Amps for Everyone》。我的E文不好,在这里要感谢《金山词霸》。 ^_^ 水平有限(不是客气,呵呵),如果你发现什么问题请一定指出,先谢谢大家了。 E-mail:wz_carbon@https://www.360docs.net/doc/e34965566.html, 王桢 10月29日

介绍 我们经常看到很多非常经典的运算放大器应用图集,但是他们都建立在双电源的基础上,很多时候,电路的设计者必须用单电源供电,但是他们不知道该如何将双电源的电路转换成单电源电路。 在设计单电源电路时需要比双电源电路更加小心,设计者必须要完全理解这篇文章中所述的内容。 1. 1电源供电和单电源供电 所有的运算放大器都有两个电源引脚,一般在资料中,它们的标识是VCC+和VCC -,但是有些时候它们的标识是VCC+和GND。这是因为有些数据手册的作者企图将这种标识的差异作为单电源运放和双电源运放的区别。但是,这并不是说他们就一定要那样使用――他们可能可以工作在其他的电压下。在运放不是按默认电压供电的时候,需要参考运放的数据手册,特别是绝对最大供电电压和电压摆动说明。 绝大多数的模拟电路设计者都知道怎么在双电源电压的条件下使用运算放大器,比如图一左边的那个电路,一个双电源是由一个正电源和一个相等电压的负电源组成。一般是正负15V,正负12V和正负5V也是经常使用的。输入电压和输出电压都是参考地给出的,还包括正负电压的摆动幅度极限V om以及最大输出摆幅。 单电源供电的电路(图一中右)运放的电源脚连接到正电源和地。正电源引脚接到VCC+,地或者VCC-引脚连接到GND。将正电压分成一半后的电压作为虚地接到运放的输入引脚上,这时运放的输出电压也是该虚地电压,运放的输出电压以虚地为中心,摆幅在V om之内。有一些新的运放有两个不同的最高输出电压和最低输出电压。这种运放的数据手册中会特别分别指明V oh和V ol。需要特别注意的是有不少的设计者会很随意的用虚地来参考输入电压和输出电压,但在大部分应用中,输入和输出是参考电源地的,所以设计者必须在输入和输出的地方加入隔直电容,用来隔离虚地和地之间的直流电压。(参见1.3节) 图一 通常单电源供电的电压一般是5V,这时运放的输出电压摆幅会更低。另外现在运放的供电电压也可以是3V也或者会更低。出于这个原因在单电源供电的电路中使用的运放基本上都是Rail-To-Rail的运放,这样就消除了丢失的动态范围。需要特别指出的是输入和输出不一定都能够承受Rail-To-Rail的电压。虽然器件被指明是Rail-To -Rail的,如果运放的输出或者输入不支持Rail-To-Rail,接近输入或者接近输出电压极限的电压可能会使运放的功能退化,所以需要仔细的参考数据手册是否输入和输出是否都是Rail-To-Rail。这样才能保证系统的功能不会退化,这是设计者的义务。1. 2虚地

集成运算放大器电路分析及应用(完整电子教案)

集成运算放大器电路分析及应用(完整电子教案) 3.1 集成运算放大器认识与基本应用 在太阳能充放电保护电路中要利用集成运算放大器LM317实现电路电压检测,并通过三极管开关电路实现电路的控制。首先来看下集成运算放大器的工作原理。 【项目任务】 测试如下图所示,分别测量该电路的输出情况,并分析电压放大倍数。 R1 15kΩ R3 15kΩ R4 10kΩ V2 4 V XFG1 1 VCC 5V U1A LM358AD 3 2 4 8 1 VCC 3 5 2 4 R1 15kΩR2 15kΩ R3 15kΩ R4 10kΩ V2 4 V XFG1 1 VCC 5V U1A LM358AD 3 2 4 8 1 VCC 3 5 2 4 函数信号发生器函数信号发生器 (a)无反馈电阻(b)有反馈电阻 图3.1集成运算符放大器LM358测试电路(multisim) 【信息单】 集成运放的实物如图3.2 所示。 图3.2 集成运算放大 1.集成运放的组成及其符号 各种集成运算放大器的基本结构相似,主要都是由输入级、中间级和输出级以及偏置电路组成,如图3.3所示。输入级一般由可以抑制零点漂移的差动放大电路组成;中间级的作用是获得较大的电压放大倍数,可以由共射极电路承担;输出级要求有较强的带负载能力,一般采用射极跟随器;偏置电路的作用是为各级电路供给合理的偏置电流。

图3.3集成运算放大电路的结构组成 集成运放的图形和文字符号如图 3.4 所示。 图3.4 集成运放的图形和文字符号 其中“-”称为反相输入端,即当信号在该端进入时, 输出相位与输入相位相反; 而“+”称为同相输入端,输出相位与输入信号相位相同。 2.集成运放的基本技术指标 集成运放的基本技术指标如下。 ⑴输入失调电压 U OS 实际的集成运放难以做到差动输入级完全对称,当输入电压为零时,输出电压并不为零。规定在室温(25℃)及标准电源电压下,为了使输出电压为零,需在集成运放的两输入端额外附加补偿电压,称之为输入失调电压U OS ,U OS 越小越好,一般约为 0.5~5mV 。 ⑵开环差模电压放大倍数 A od 集成运放在开环时(无外加反馈时),输出电压与输入差模信号的电压之比称为开环差模电压放大倍数A od 。它是决定运放运算精度的重要因素,常用分贝(dB)表示,目前最高值可达 140dB(即开环电压放大倍数达 107 )。 ⑶共模抑制比 K CMRR K CMRR 是差模电压放大倍数与共模电压放大倍数之比,即od CMRR oc A K =A ,其含义与差动放大器中所定义的 K CMRR 相同,高质量的运放 K CMRR 可达160d B 。 ⑷差模输入电阻 r id r id 是集成运放在开环时输入电压变化量与由它引起的输入电流的变化量之比,即从输入端看进去的动态电阻,一般为M Ω数量级,以场效应晶体管为输入级的r id 可达104M Ω。分析集成运放应用电路时,把集成运放看成理想运算放大器可以使分析简化。实际集成运 放绝大部分接近理想运放。对于理想运放,A od 、K CMRR 、r id 均趋于无穷大。 ⑸开环输出电阻 r o r o 是集成运放开环时从输出端向里看进去的等效电阻。其值越小,说明运放的带负载能力越强。理想集成运放r o 趋于零。 其他参数包括输入失调电流I OS 、输入偏置电流 I B 、输入失调电压温漂 d UOS /d T 和输入失调电流温漂 d IOS /d T 、最大共模输入电压 U Icmax 、最大差模输入电压 U Idmax 等,可通过器件

LM324四运放集成电路图文详解

LM324四运放集成电路图文详解 LM324是四运放集成电路,它采用14脚双列直插塑料封装,外形如图所示。它的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运放相互独立。每一组运算放大器可用图1所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“Vo”为输出端。两个信号输入端中,Vi-(-)为反相输入端,表示运放输出端Vo的信号与该输入端的位相反;Vi+(+)为同相输入端,表示运放输出端Vo的信号与该输入端的相位相同。LM324的引脚排列见图2。 图 1 图 2 由于LM324四运放电路具有电源电压范围宽,静态功耗小,可单电源使用, 价格低廉等优点,因此被广泛应用在各种电路中。下面介绍其应用实例。 1.反相交流放大器 电路见附图。此放大器可代替晶体管进行交流放大,可用于扩音机前置放大 等。电路无需调试。放大器采用单电源供电,由R1、R2组成1/2V+偏置,C1是 消振电容。 放大器电压放大倍数Av仅由外接电阻Ri、Rf决定:Av=-Rf/Ri。负号表示输出信号与输入信号相位相反。按图中所给数值,Av=-10。此电路输入电阻为Ri。一般情况下先取Ri与信号源内阻相等,然后根据要求的放大倍数在选定Rf。Co和Ci为耦合电容。

2.同相交流放大器 见附图。同相交流放大器的特点是输入阻抗高。其中的R1、R2组成1/2V+分压电路,通过R3对运放进行偏置。 电路的电压放大倍数Av也仅由外接电阻决定:Av=1+Rf/R4,电路输入电阻为R3。R4的阻值范围为几千欧姆到几十千欧姆。 3.交流信号三分配放大器 此电路可将输入交流信号分成三路输出,三路信号可分别用作指示、控制、分析等用途。而对信号源的影响极小。因运放Ai 输入电阻高,运放 A1-A4 均把输出端直接接到负输入端,信号输入至正输入端,相当于同相放大状态时 Rf=0 的情况,故各放大器电压放大倍数均为 1 ,与分立元件组成的射极跟随器作用相同 R1、R2组成1/2V+偏置,静态时A1输出端电压为1/2V+,故运放A2-A4输出端亦为1/2V+,通过输入输出电容的隔直作用,取出交流信号,形有源带通滤波器许多音响装置的频谱分析器均使用此电路作为带通滤波器,以选出各个不同

仪表放大器的应用技巧(摘)

仪表放大器电路设计技巧 Charles Kitchin,Lew Counts 美国模拟器件公司 长期以来,为仪表放大器供电的传统方法是采用双电源或双极性电源,这具有允许正负输入摆幅和输出摆幅的明显优势。随著元器件技术的发展,单电源工作已经成为现代仪表放大器一个越来越有用的特性。现在许多数据采集系统都是采用低电压单电源供电。对于单电源系统,有两个至关重要的特性。首先,仪表放大器的输入范围应当在正电源和负电源之间(或接地电压)扩展。其次,放大器的输出摆幅也应当接近电源电压的两端(R-R),提供一个与电源电压的任一端或地电位相差100mV(或小于100mV)以内的输出摆幅(V-+0.1V~V+-0.1V)。比较起来,一个标准的双电源仪表放大器的输出摆幅只能与电源电压的任一端或地电位相差1V或2V以内。当采用5V 单电源工作时,这些仪表放大器仅具有1V或2V输出电压摆幅,而真正的R-R输出仪表放大器能提供几乎与电源电压一样高的峰峰输出摆幅。另一个重要点是单电源或R-R仪表放大器采用双电源仍能工作(甚至更好)并且通常其工作电源电压比传统的双电源器件低。 电源解耦是一个经常被工程师忽视的重要细节。通常,旁路电容器(典型值为0.1μF)连接在每个IC的电源引脚和地之间。尽管通常情况适合,但是这在实际应用中可能无效或甚至产生比根本没有旁路电容器更坏的瞬态电压。因此考虑电路中的电流在何处产生,从何处返回和通过什麽路径返回是很重要的问题。一旦确定,应当在地周围和其他信号路径周围旁路这些电流。 通常,像运算放大器一样,大多数单片仪表放大器都有其以电源的一端或两端为参考端的积分器并且应当相对输出参考端解耦。这意味著对于每颗晶片在每个电源引脚与仪表放大器的参考端在PCB上的连接点之间应连接一个旁路电容器,如图1所示。 图1、电源旁路的推荐方法 1.输入接地返回的重要性 当使用仪表放大器电路时出现的一个最常见的应用问题是缺乏为仪表放大器的输入偏置电流提供一个DC返回路径。这通常发生在当仪表放大器的输入是容性耦合时。图2示出这样一个电路。

运算放大器电路分析详解

透解放大器 遍观所有模拟电子技朮的书籍和课程,在介绍运算放大器电路的时候,无非是先给电路来个定性,比如这是一个同向放大器,然后去推导它的输出与输入的关系,然后得出Vo=(1+Rf)Vi,那是一个反向放大器,然后得出Vo=-Rf*Vi……最后学生往往得出这样一个印象:记住公式就可以了!如果我们将电路稍稍变换一下,他们就找不着北了!偶曾经面试过至少100个以上的大专以上学历的电子专业应聘者,结果能将我给出的运算放大器电路分析得一点不错的没有超过10个人!其它专业毕业的更是可想而知了。 今天,芯片级维修教各位战无不胜的两招,这两招在所有运放电路的教材里都写得明白,就是“虚短”和“虚断”,不过要把它运用得出神入化,就要有较深厚的功底了。 虚短和虚断的概念 由于运放的电压放大倍数很大,一般通用型运算放大器的开环电压放大倍数都在80 dB以上。而运放的输出电压是有限的,一般在 10 V~14 V。因此运放的差模输入电压不足1 mV,两输入端近似等电位,相当于“短路”。开环电压放大倍数越大,两输入端的电位越接近相等。 “虚短”是指在分析运算放大器处于线性状态时,可把两输入端视为等电位,这一特性称为虚假短路,简称虚短。显然不能将两输入端真正短路。 由于运放的差模输入电阻很大,一般通用型运算放大器的输入电阻都在1MΩ以上。因此流入运放输入端的电流往往不足1uA,远小于输入端外电路的电流。故通常可把运放的两输入端视为开路,且输入电阻越大,两输入端越接近开路。“虚断”是指在分析运放处于线性状态时,可以把两输入端视为等效开路,这一特性称为虚假开路,简称虚断。显然不能将两输入端真正断路。 在分析运放电路工作原理时,首先请各位暂时忘掉什么同向放大、反向放大,什么加法器、减法器,什么差动输入……暂时忘掉那些输入输出关系的公式……这些东东只会干扰你,让你更糊涂﹔也请各位暂时不要理会输入偏置电流、共模抑制比、失调电压等电路参数,这是设计者要考虑的事情。我们理解的就是理想放大器(其实在维修中和大多数设计过程中,把实际放大器当做理想放大器来分析也不会有问题)。 好了,让我们抓过两把“板斧”------“虚短”和“虚断”,开始“庖丁解牛”了。

运算放大器积分电路图

运算放大器积分电路图 原理图1 积分运算电路的分析方法与加法电路差不多,反相积分运算电路如图1所 示。根据虚地有, 于是 由此可见,输出电压为输入电压对时间的积分,负号表明输出电压和输入电压在相位上是相反的。 当输入信号是阶跃直流电压U I时,电容将以近似恒流的方式进行充电,输出 电压与时间成线性关系。即 例:在图1的积分器的输入端加入图2中给定输入波形,画出在此输入波形作用下积分器的输出波形,电容器上的初始电压为0。积分器的参数R=10kW、C=0.1mF。 图2给出了在阶跃输入和方波输入下积分器的输出波形。画出积分器输出波形,应对应输入波形,分段绘制。例如对于图2(a)阶跃信号未来之前是一段,阶跃信号到来之后是一段。 对图2(a),当t<t0时,因输入为0,输出电压等于电容器上的电压,初始值为0; 当t≥t0时,u I = -U I,积分器正向积分,输出电压 要注意,当输入信号在某一个时间段等于零时,参阅图2(b)的1ms~2ms、 3ms~4ms…各段。积分器的输出是不变的,保持前一个时间段的最终数值。因为虚地的原因,当输入为零时,积分电阻 R 两端无电位差,故R中无电流,因此 C 不能放电,故输出电压保持不变。 实际应用积分电路时,由于运放的输入失调电压、输入偏置电流和失调电流的影响,会出现积分误差;此外,积分电容的漏电流也是产生积分误差的原因之一。

(a) 阶跃输入信号(b)方波输入信号 图2 积分器的输入和输出波形 实际的积分电路,应当采用失调电压、偏置电流和失调电流较小的运放,并在同相输入端接入可调平衡电阻;选用泄漏电流小的电容,如薄膜电容、聚苯乙烯电容,可以减少积分电容的漏电流产生的积分误差。

常用运算放大器电路 (全集)

常用运算放大器电路(全集) 下面是[常用运算放大器电路(全集)]的电路图 常用OP电路类型如下: 1. Inverter Amp. 反相位放大电路: 放大倍数为Av = R2 / R1但是需考虑规格之Gain-Bandwidth数值。R3 = R4 提供1 / 2 电源偏压 C3 为电源去耦合滤波 C1, C2 输入及输出端隔直流 此时输出端信号相位与输入端相反 2. Non-inverter Amp. 同相位放大电路: 放大倍数为Av=R2 / R1 R3 = R4提供1 / 2电源偏压 C1, C2, C3 为隔直流

此时输出端信号相位与输入端相同 3. Voltage follower 缓冲放大电路: O/P输出端电位与I/P输入端电位相同 单双电源皆可工作 4. Comparator比较器电路: I/P 电压高于Ref时O/P输出端为Logic低电位 I/P 电压低于Ref时O/P输出端为Logic高电位 R2 = 100 * R1 用以消除Hysteresis状态, 即为强化O/P输出端, Logic高低电位差距,以提高比较器的灵敏度. (R1=10 K, R2=1 M) 单双电源皆可工作 5. Square-wave oscillator 方块波震荡电路: R2 = R3 = R4 = 100 K R1 = 100 K, C1 = 0.01 uF

Freq = 1 /(2π* R1 * C1) 6. Pulse generator脉波产生器电路: R2 = R3 = R4 = 100 K R1 = 30 K, C1 = 0.01 uF, R5 = 150 K O/P输出端On Cycle = 1 /(2π* R5 * C1) O/P输出端Off Cycle =1 /(2π* R1 * C1) 7. Active low-pass filter 主动低通滤波器电路: R1 = R2 = 16 K R3 = R4 = 100 K C1 = C2 = 0.01 uF 放大倍数Av = R4 / (R3+R4) Freq = 1 KHz 8. Active band-pass filter 主动带通滤波器电路:

仪表放大器的设计说明

目录 一、绪言 (7) 二、电路设计 (8) 设计要求 (8) 设计方案 (8) 1、电路原理 (8) 2、主要器件选择 (9) 3、电路仿真 (10) 三、电路焊接 (13) 四、电路调试 (14) 1、仪表放大电路的调试 (14) 2、误差分析 (15) 五、心得体会 (18) 六、参考文献 (19)

绪言 智能仪表仪器通过传感器输入的信号,一般都具有“小”信号的特征:信号幅度很小(毫伏甚至微伏量级),且常常伴随有较大的噪声。对于这样的信号,电路处理的第一步通常是采用仪表放大器先将小信号放大。放大的最主要目的不是增益,而是提高电路的信噪比;同时仪表放大器电路能够分辨的输入信号越小越好,动态围越宽越好。仪表放大器电路性能的优劣直接影响到智能仪表仪器能够检测的输入信号围。本文从仪表放大器电路的结构、原理出发,设计出仪表放大器电路实现方案,通过分析,为以后进行电子电路实验提供一定的参考。 在同组成员帅威、智越的共同努力下,大家集思广益,深入探讨了实验过程中可能出现的各种问题,然后分工负责个部分的工作,我和帅威负责前期的电路设计和器件的采购,后期的焊接由智越完成,最后的调试由我们三个人共同完成。本报告在做实验以及其他同学提出的富有建设性意见的基础上由我编写,报告中难免会有不足或疏漏之处,还望大家指正为谢!

第一章电路设计 一、设计要求 1、电路放大倍数>3000倍 2、输入电阻>3000kΩ 3、输出电阻<300Ω 二、设计方案 1、电路原理 仪表放大器电路的典型结构如图1所示。它主要由两级差分放大器电路构成。其中,运放A1,A2为同相差分输入方式,同相输入可以大幅度提高电路的输入阻抗,减小电路对微弱输入信号的衰减;差分输入可以使电路只对差模信号放大,而对共模输入信号只起跟随作用,使得送到后级的差模信号与共模信号的幅值之比(即共模抑制比CMRR)得到提高。这样在以运放A3为核心部件组成的差分放大电路中,在CMRR要求不变情况下,可明显降低对电阻R3和R4,RF和R5的精度匹配要求,从而使仪表放大器电路比简单的差分放大电路具有更好的共模抑制能力。在R1=R2,R3=R4,Rf=R5的条件下,图1电路的增益为:G=(1+2R1/Rg)(Rf/R3)。由公式可见,电路增益的调节可以通过改变Rg阻值实现。

运算放大器电路及版图设计报告

目录 摘要 (2) 第一章引言 (3) 第二章基础知识介绍 (4) 2.1 集成电路简介 (4) 2.2 CMOS运算放大器 (4) 2.2.1理想运放的模型 (4) 2.2.2非理想运算放大器 (5) 2.2.3运放的性能指标 (5) 2.3 CMOS运算放大器的常见结构 (6) 2.3.1单级运算放大器 (6) 2.3.2简单差分放大器 (6) 2.3.3折叠式共源共栅(Folded-cascode)放大器 (7) 2.4版图的相关知识 (8) 2.4.1版图介绍 (8) 2.4.2硅栅CMOS工艺版图和工艺的关系 (8) 2.4.3 Tanner介绍 (9) 第三章电路设计 (10) 3.1总体方案 (10) 3.2各级电路设计 (10) 3.2.1第三级电路设计 (10) 3.2.2第二级电路设计 (11) 3.2.3第一级电路设计 (12) 3.2.4三级运放整体电路图及仿真结果分析 (14) 第四章版图设计 (15) 4.1版图设计的流程 (15) 4.1.1参照所设计的电路图的宽长比,画出各MOS管 (15) 4.1.2 布局 (17) 4.1.3画保护环 (17) 4.1.4画电容 (17) 4.1.5画压焊点 (18) 4.2 整个版图 (19) 第五章 T-Spice仿真 (21) 5.1提取T-Spice文件 (21) 5.2用T-Spice仿真 (24) 5.3仿真结果分析 (26) 第六章总结 (27) 参考文献 (28)

摘要 本次专业综合课程设计的主要内容是设计一个CMOS三级运算跨导放大器,该放大器可根据不同的使用要求,通过开关的开和闭,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。用ORCAD画电路图,设计、计算宽长比,仿真,达到要求的技术指标,逐级进行设计仿真。然后用L-Edit软件根据设计的宽长比画版图,最后通过T-Spice仿真,得到达到性能指标的仿真结果。 设计的主要结果归纳如下: (1)运算放大器的基本工作原理 (2)电路分析 (3)设计宽长比 (4)画版图 (5)仿真 (6)结果分析 关键词:CMOS运算跨导放大器;差分运放;宽长比;版图设计;T-Spice仿真

运算放大器应用电路的设计与制作(1)

运算放大器应用电路的设计与制作 (一) 运算放大器 1.原理 运算放大器是目前应用最广泛的一种器件,当外部接入不同的线性或非线性元器件组成输入和负反馈电路时,可以灵活地实现各种特定的函数关系。在线性应用方面,可组成比例、加法、减法、积分、微分、对数等模拟运算电路。 运算放大器一般由4个部分组成,偏置电路,输入级,中间级,输出级。 图1运算放大器的特性曲线 图2运算放大器输入输出端图示 图1是运算放大器的特性曲线,一般用到的只是曲线中的线性部分。如图2所示。U -对应的端子为“-”,当输入U -单独加于该端子时,输出电压与输入电压U -反相,故称它为反相输入端。U +对应的端子为“+”,当输入U +单独由该端加入时,输出电压与U +同相,故称它为同相输入端。 输出:U 0= A(U +-U -) ; A 称为运算放大器的开环增益(开环电压放大倍数)。 在实际运用经常将运放理想化,这是由于一般说来,运放的输入电阻很大,开环增益也很大,输出电阻很小,可以将之视为理想化的,这样就能得到:开环电压增益A ud =∞;输入阻抗r i =∞;输出阻抗r o =0;带宽f BW =∞;失调与漂移均为零等理想化参数。 2.理想运放在线性应用时的两个重要特性 输出电压U O 与输入电压之间满足关系式:U O =A ud (U +-U -),由于A ud =∞,而U O 为有限值,因此,U +-U -≈0。即U +≈U -,称为“虚短”。

由于r i =∞,故流进运放两个输入端的电流可视为零,即I IB =0,称为“虚断”,这说明运放对其前级吸取电流极小。 上述两个特性是分析理想运放应用电路的基本原则,可简化运放电路的计算。 3. 运算放大器的应用 (1)比例电路 所谓的比例电路就是将输入信号按比例放大的电路,比例电路又分为反向比例电路、同相比例电路、差动比例电路。 (a) 反向比例电路 反向比例电路如图3所示,输入信号加入反相输入端: 图3反向比例电路电路图 对于理想运放,该电路的输出电压与输入电压之间的关系为: 为了减小输入级偏置电流引起的运算误差,在同相输入端应接入平衡电阻 R ’=R 1 // R F 。 输出电压U 0与输入电压U i 称比例关系,方向相反,改变比例系数,即改变两个电阻的阻值就可以改变输出电压的值。反向比例电路对于输入信号的负载能力有一定的要求。 (b) 同向比例电路 同向比例电路如图4所示,跟反向比例电路本质上差不多,除了同向接地的一段是反向输入端: i 1 f O U R R U - =

智能化传感器中应用仪表放大器时应注意的问题

智能化传感器中应用仪表放大器时应注意的问题 1 序言 仪表放大器(IA)由于其本身所具有的低漂移、低功耗、高共模抑制比、宽电源供电范围及小体积等一系列优点,在数据采集系统、电桥、热电偶及温度传感器的放大电路中得到了广泛的应用,它既能对单端信号又能对差分信号进行放大。在数据采集系统中,一般需要实现对多路信号进行数据采集,这主要是通过多路开关来实现对多路信号的切换。实际应用中,针对不同的测量对象可以分别选择单端信号或差分信号的输入方式来实现对信号的获取,一般市场上所有的多路信号采集系统基本上都具备这种功能。 差分仪表放大器具有对差分信号进行放大,对共模信号加以抑制的功能,但是并非所有差分信号输出的场合可以直接使用仪表放大器作为前置信号放大级,具体来说必须考虑到共模信号的大小、差分信号的大小、放大倍数的选择、输入信号的频率范围等因素,同时针对输入信号的具体情况可以选择单端信号输入方式或者差分信号输入方式。下面对仪表放大器在实际应用中所涉及到的这些问题分别加以阐述。 2 仪表放大器的结构 仪表放大器一般是由三个放大器和经过激光调阻修正的电阻网络构成,如图1所示。在传统的三片运放方式的基础上做一些改进,内部阻值的校准保证用户只需要外接一个电阻即可实现由1到上万倍的增益精确设定,减少了由于增益相关误差带来的数据采集误差,同时这种结构保证其具有高输入阻抗和低输出阻抗,

且每一路输入都有输入保护电路以避免损坏器件。由于采用激光调阻,使其具有低失调电压、高共模抑制比和低温漂。 图1 仪表放大器的结构原理框图 图1所示为BB(Burr Brown)公司的INA114、INA118等仪表放大器的结构原理框图及引脚。在实际应用时,正负电源引脚处应接滤波电容C,以消除电源带来的干扰。5脚为输出参考端,一般接地。实际应用中即使5脚对地之间存在很小的电阻值,也将对器件的共模抑制比产生很大的影响,如5欧姆的阻值将导致共模抑制比衰减到80dB。 3 应用中应考虑的问题 3.1 输入偏置电流回路 一般来说,选择差分信号测量的工作方式时,后面的信号放大电路一般直接采用仪表放大器构成。仪表放大器的输入阻抗非常高,大约达到1010Ω数量级,相应对于差分输入的每个输入端都需要输入偏置电流通道,以提供共模电流反馈回路,例如仪表放大器IN118输入偏置电流大约为±5nA。由于仪表放大器的输入

利用Matlab分析运算放大器电路

能力拓展训练任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 利用Matlab分析运算放大器电路 初始条件: 1 Matlab软件6.3以上版本 2运算放大器等效电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1. 题目内容: 2. 课程设计说明书应包括: a)设计任务及要求 b)方案比较及认证 c)程序设计基本思想,程序流程图,部分源程序及注解 d)调试记录及结果分析 e)参考资料 f)附录:全部源程序清单 g)总结 时间安排: 2013年选题、查阅资料和方案设计 2013年编程 2013年调试程序,改进与提高 2013年撰写设计报告(有调试过程及结果的截屏) 2013年答辩和交课程设计报告 指导教师签名: 2013 年月日 系主任(或责任教师)签名:年月日

目录 1前言........................................................................................................................... 12系统分析................................................................................................................... 2 2.1任务及要求.................................................................................................... 2 2.2分析与计算.................................................................................................... 2 2.2.1电路频率响应分析............................................................................. 2 2.2.2自激分析............................................................................................. 33编程和仿真............................................................................................................... 44仿真结果与分析....................................................................................................... 55小结........................................................................................................................... 76心得体会................................................................................................................... 8参考文献...................................................................................................................... 9附录.......................................................................................................................... 10

相关文档
最新文档