华中科技大学数字逻辑实验

华中科技大学数字逻辑实验
华中科技大学数字逻辑实验

标准文档

数字逻辑实验报告(1)

姓名:

学号:

班级:

指导教师:

计算机科学与技术学院

20 年月日

《数字电路与逻辑设计》实验报告

数字逻辑实验报告系列二进制加法器设计预习报告

《数字电路与逻辑设计》实验报告

一、系列二进制加法器设计

1、实验名称

系列二进制加法器设计。

2、实验目的

要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。

通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。

3、实验所用设备

Logisim2.7.1软件一套。

4、实验内容

对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体内容如下。

(1)一位二进制半加器

设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。

(2)一位二进制全加器

设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。

(3)串行进位的四位二进制并行加法器

用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。

(4)先行进位的四位二进制并行加法器

《数字电路与逻辑设计》实验报告

利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九

个输入A

3、A

2

、A

1

、A

、B

3

、B

2

、B

1

、B

和C

,五个输出S

3

、S

2

、S

1

、S

和C

4

。输入

A= A

3A

2

A

1

A

、B= B

3

B

2

B

1

B

和C

分别为被加数、加数和来自低位的进位,输出S= S

3

S

2

S

1

S

和C

o

为本位和和向高位的进位。

(5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性

将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。

图1-1“私有”的先行进位的四位二进制并行加法器

5、实验方案设计

(1)一位二进制半加器的设计方案

设A、B为半加器的输入,C、S为半加器的输出,其中S为本位和,C为进位,通过分析可知,当A=B=0时,C=S=0;当A、B中有一个为1时,C=0,S=1;当A=B=1时,C=1,S=0.据此写出逻辑表达式:

使用logism做出一位二进制半加器的电路图,结果如图1-2所示。

《数字电路与逻辑设计》实验报告

图1-2 一位二进制半加器

(2)一位二进制全加器的设计方案

设A、B、为全加器的输入,S、为输出,其中,A、B和分别为被加数、加数和来自低位的进位,输出S和为本位和和向高位的进位,据此可以列出全加器的真值表如表1-1所示。

利用卡诺图化简并进行异或变换得到最简输出函数表达式为

使用logism做出一位二进制全加器的电路图,结果如图1-3所示。

《数字电路与逻辑设计》实验报告

图1-3 一位二进制全加器

(3)串行进位的四位二进制并行加法器的设计方案

串行进位的四位二进制并行加法器可以由四个一位二进制全加器级联构成,其中高位的即为其相邻低位的,因此电路从最低位开始运算,得到本进位

以及本位后进行次低位的运算,以此类推,直到运算到最高位。设输入

、和分别为被加数、加数和来自低位的进位,输出和为本位和和向高位的进位。

使用logism做出串行进位的四位二进制并行加法器的电路图,结果如图1-4所示。

图1-4串行进位的四位二进制并行加法器

(4)先行进位的四位二进制并行加法器的设计方案

串行进位的并行加法器高位的运算需要低位的运算结果参与,因此运算速度较慢,需对其进行优化。由全加器的逻辑表达式可知第i位的进位输出函数及本位和函数的表达式为

当第i位被加数Ai和Bi均为1时,有Ci=1,定义为进位产生函数。当1时,,定义为进位传递函数,将Pi和Ci 代入和中

《数字电路与逻辑设计》实验报告

得到

因此4位二进制并行加法器各位的进位输出函数和输出函数表达式分别为:

使用logism作出先行进位的四位二进制并行加法器的电路图,结果如图1-5所示。

图1-5先行进位的四位二进制并行加法器

(5)封装先行进位的四位二进制并行加法器电路

对先行进位的四位二进制并行加法器进行封装,其中输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co 为本位和和向高位的进位。

封装结果如图1-6所示.

《数字电路与逻辑设计》实验报告

图1-6先行进位的四位二进制并行加法器封装图

接下来对该加法器进行测试,由于输入值得组合较多,这里选取部分输入进行测试

1.输入A=0B,B=1011B, Co=0B,理论输出=0B,S=1011B,实际输出如图1-7所示,与理论结果一致

图1-7 测试样例1

2. 输入A=1111B,B=1111B, Co =1B,理论输出=1B,S=1111B,实际输出如图1-8所示,与理论结果一致

图1-8 测试样例2

3. 输入A=1100B,B=0011B, Co =1B,理论输出=1B,S=0000B,实际输出如图1-9所示,与理论结果一致

《数字电路与逻辑设计》实验报告

图1-9 测试样例3

4. 输入A=0100B,B=1001B, Co =1B,理论输出=0B,S=1110B,实际输出如图1-10所示,与理论结果一致

图1-10 测试样例4

《数字电路与逻辑设计》实验报告

数字逻辑实验报告小型实验室门禁系统设计实验报告

《数字电路与逻辑设计》实验报告

二、小型实验室门禁系统设计

1、实验名称

小型实验室门禁系统设计。

2、实验目的

要求同学采用传统电路的设计方法,对一个“设计场景”进行逻辑电路的设计,并利用工具软件,例如,“logisim”软件的虚拟仿真来检查这个小型实验室门禁系统的设计是否达到要求。

通过以上实验的设计、仿真、验证3个训练过程使同学们掌握小型电路系统的设计、仿真、调试方法以及电路模块封装的方法。

3、实验所用设备

Logisim2.7.1软件一套。

4、实验内容

设计场景:某小型保密实验室需要安装一个门禁系统,用于监测、控制和显示该实验室内上班人数,该实验室只有一个门,最多只能容纳15人。假设员工进出实验室都要刷校园卡,并且保证一次刷卡后有且只有一人能进出。实验室空置时人数显示为0,刷卡进入时实验室人数加1,刷卡离开时实验室人数减1。当实验室满员时,还有员工在门外刷卡进入时,门禁系统“不”动作,系统报警提示满员。

使用logisim软件对小型电路进行虚拟实验仿真,除逻辑门、触发器、7段数码显示管外,不能直接使用logisim提供的逻辑元件库,具体要求如下。

(1)设计一个四位二进制可逆计数器电路并进行封装和验证它的正确性

用D触发器设计一个四位二进制可逆计数器,并进行封装。该计数器有一个清零端CLR、一个累加计数脉冲端CPU(输入刷卡进入请求)、一个累减计数脉冲端CPD(输入刷卡离开请求),四个计数输出端QDQCQBQA记录当前实验室人数。

将设计好的4位二进制可逆计数器进行封装,生成一个“私有”库元件,以便后续实验使用,4位二进制可逆计数器逻辑符号参见图2-1所示。

《数字电路与逻辑设计》实验报告

图2-1 “私有”的一个4位二进制可逆计数器

(2)用实验1中已封装的“先行进位的四位二进制并行加法器”设计一个将实验室内人数转换成8421BCD码的电路

用实验一中已封装的“先行进位的四位二进制并行加法器”和适当的逻辑门将二进制数表示的实验室人数转换成两位十进制数的8421BCD码。

(3)设计7段译码器,并采用“7段数码显示管”显示人数的电路

设计一个7段译码器(参考书的7448芯片),将两位十进制数的8421BCD 码表示的实验室人数用“7段数码显示管”显示出来。

该7段译码器有四个输入A3A2A1A0和七个输出abcdefg, A3A2A1A0为8421BCD码,abcdefg为7段数码显示管对应的段。

(4)设计当实验室满员时,门禁“不”动作,系统报警提示满员的电路当实验室满员时,在累加计数脉冲端CPU输入刷卡进入请求,计数输出端数据保持不变,门禁“不”动作,系统报警提示满员。当实验室空时,逻辑上不会有实验室内累减计数脉冲端CPD输入刷卡离开请求。为防止信号干扰,在计数输出为0时,若CPD端有脉冲,也应使计数输出端数据保持不变,门禁“不”动作,但不用报警。

(5)设计小型实验室门禁系统电路并进行封装和验证它的正确性

设计满足要求的小型实验室门禁系统电路并进行封装,生成一个小型实验室门禁系统芯片,封装后的小型实验室门禁系统逻辑符号参见图2-2所示。

图2-2 封装后的小型实验室门禁系统

《数字电路与逻辑设计》实验报告

5、实验方案设计

(1)设计一个四位二进制可逆计数器电路

经分析采用异步时序逻辑电路,根据题意列出四位二进制可逆计数器的二进制状态表如表2-1所示.

移关系和激励函数真值表,如表2-2所示。

《数字电路与逻辑设计》实验报告

在加计数时,CPU有脉冲,通过观察表6-2可以看出C3所要求的触发信号

可由Q2Q1Q0提供,C2所要求的触发信可由Q1Q0提供,C1所要求的触发信号可由Q0提供,C0所要求的触发信号可由CPU提供.

在减计数时,CPD有脉冲,通过观察表6-2可以看出C3所要求的触发信号

可由提供,C2所要求的触发信号可由提供,C1所要求的触发信号可由提供,C0所要求的触发信号可由CPU提供.

综合加计数和减计数,可知

使用Logism做出电路图如图2-1所示:

《数字电路与逻辑设计》实验报告

图2-1 一个四位二进制可逆计数器

图2-2四位二进制可逆计数器封装图

(2)用实验一中已封装的“先行进位的四位二进制并行加法器”设计将实验室内人数转换成8421BCD码的电路

由题意可列出四位二进制数和8421码的对应关系,如表2-3所示

《数字电路与逻辑设计》实验报告

修正方式为S3S2S1S0+0ZZ0

据此可以画出四位二进制数转8421码的电路图如图2-3和图2-4所示:

图2-3 四位二进制数转8421码电路图

图2-4 四位二进制数转8421码封装图

(3)设计7段译码器,并采用“7段数码显示管”显示人数的电路(A)设计一个7段译码器

7段译码器的真值表如表2-4所示:

表2-4 7段译码器真值表

《数字电路与逻辑设计》实验报告

a=

210+

32

A

1+3

A

2

A

+A

31

A

b=

32+

10

+

21

+

3

A

1

A

c=

3A

2

+

21

+

2

A

d= A

21A

+

20

+

2

A

1

A

+ A

2

A

10

e= A

10+

20

f=

10+A

21

+A

321

+A

20

g= A

21+ A

32

+

2

A

1

+A

10

使用logisim做出电路图,如图2-5所示

《数字电路与逻辑设计》实验报告

图2-5 7段译码器

(B)设计用“7段数码显示管”显示人数的逻辑电路

将四位二进制数转8421码的十位输出和个位输出分别连接到两个7段译码器上,然后将7段译码器连接到数码显示管得到显示实验室人数的电路,电路的输入是二进制数表示的实验室人数

图2-6 用7段数码显示管显示实验室人数的电路

(4)设计当实验室满员时,门禁“不”动作,系统报警提示满员的电路

《数字电路与逻辑设计》实验报告

当实验室满员时计数器输出均是高电平,将4个输出端与后得到满员状态的信号。当实验室无人时计数器的输出均是低电平,将4个输出端或后得到无人状态信号。利用A·0=0,A+0=A,设满员信号为M,无人信号为N,有:

M=S

D S

C

S

B

S

A

N=S

D +S

C

+S

B

+S

A

将U和与后接入芯片的CPU,则当未满员时,U的脉冲可传递到芯片的CPU,当满员时,U来脉冲时和0与,结果为0,脉冲未传递到芯片的CPU。

将D和与后接入芯片的CPD,则当有人时,U的脉冲可传递到芯片的CPD,当无人时,D来脉冲时和0与,结果为0,脉冲未传递到芯片的CPD。

据此写出CPU和CPD的表达式

CPU=U

CPD=D

当满员信号为1且U来了脉冲,进行报警,报警信号A的表达式为

A=UM

使用logism作图,结果如图2-7所示

图2-7 报警电路

(5)设计小型实验室门禁系统电路

将报警系统四位二进制数转8421码的芯片,然后通过7段译码器连接数码管,得到电路如图2-8所示。

《数字电路与逻辑设计》实验报告

图2-8 小型实验室门禁系统电路

图2-9 小型实验室门禁系统封装电路

6、实验结果记录

(1)给出“私有”库元件(采用一个四位二进制可逆计数器进行封装)的测试电路

图2-10一个四位二进制可逆计数器

学习数电心得体会

学习数电心得体会 篇一:学习数字电路之心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下,到底学了哪些东西呢如果不看书的话,真有点记不住学习内容的先后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,

都是一些基础的东西,没有多大的难度,学习起来也相对轻松。 第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

华中科技大学分光计的调节和使用实验报告

华中科技大学 分光计的调整与应用实验报告 U201213225 江烈 同济 实验目的:着重训练分光计的调整技术和技巧,并用它来测量三棱镜的顶角和最 小偏向角,计算出三棱镜材料的折射率。 实验原理:1)分光计的调节原理。(此项在实验的步骤中,针对每一步详细说明。) 2)测折射率原理: 实验要求:调整要求:①平行光管发出平行光。当i 1=i 2'时,δ为 最小,此时2 1 A i =' 2 2 11 1min A i i i -='-=δ )(2 1 min 1A i += δ 设棱镜材料折射率为n ,则 2sin sin sin 1 1A n i n i ='= 故 2 sin 2sin 2sin sin min 1A A A i n +==δ 由此可知,要求得棱镜材料折射率n ,必须测出其顶角A和最小偏向角min δ。

②望远镜对平行光聚焦。 ③望远镜,平行光管的光轴垂直一起公共轴。 ④调节动作要轻柔,锁紧螺钉锁住即可。 ⑤狭缝宽度1mm 左右为宜。 实验器材:分光计,三棱镜,水银灯光源,双面平行面镜。 实验步骤:⒈调整分光计: (1) 调整望远镜: a目镜调焦:清楚的看到分划板刻度线。 b调整望远镜对平行光聚焦:分划板调到物镜焦平面上。 c调整望远镜光轴垂直主轴:当镜面与望远镜光轴垂直时, 反射象落在上十字线中心,平面镜旋转180°后,另一镜面的反射象仍落在原处。 (2) 调整平行光管发出平行光并垂直仪器主轴:将被照明的 狭缝调到平行光管物镜焦面上,物镜将出射平行光。 2. 使三棱镜光学侧面垂直望远镜光轴。 (1)调整载物台的上下台面大致平行,将棱镜放到平台上,是 镜三边与台下三螺钉的连线所成三边互相垂直。 (2)接通目镜照明光源,遮住从平行光管来的光,转动载物台, 在望远镜中观察从侧面AC 和AB 返回的十字象,只调节台下三螺钉,使其反射象都落在上十子线处。 3. 测量顶角A :转动游标盘,使棱镜AC 正对望远镜记下游标1的 读数1θ和游标2的读数2θ。再转动游标盘,再使AB 面正

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

华中科技大学数字逻辑实验

数字逻辑实验报告(1) 数字逻辑实验1 一、系列二进制加法器设计50% 二、小型实验室门禁系 统设计50% 总成绩 姓 名: 学 号: 班 级: 指 导 教 师: 计算机科学与技术学院 评语:(包含:预习报告内容、实验过程、实验结果及分析) 教师签名

20 年月日 数字逻辑实验报告系列二进制加法器设计预习报告

一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 软件一套。 4、实验内容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体内容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和

和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器 利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九 个输入A 3、A 2 、A 1 、A 、B 3 、B 2 、B 1 、B 和C ,五个输出S 3 、S 2 、S 1 、S 和C 4 。输入 A= A 3A 2 A 1 A 、B= B 3 B 2 B 1 B 和C 分别为被加数、加数和来自低位的进位,输出S= S 3 S 2 S 1 S 和C o 为本位和和向高位的进位。 (5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性 将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。 图1-1“私有”的先行进位的四位二进制并行加法器

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

华中科技大学大学物理实验报告_音叉的受迫振动与共振

华中科技大学音叉的受迫振动与共振 【实验目的】 1.研究音叉振动系统在驱动力作用下振幅与驱动力频率的关系,测量并绘制它们的关系曲线,求出共振频率和振动系统振动的锐度。 2.通过对音叉双臂振动与对称双臂质量关系的测量,研究音叉共振频率与附在音叉双臂一定位置上相同物块质量的关系。 3.通过测量共振频率的方法,测量附在音叉上的一对物块的未知质量。 4.在音叉增加阻尼力情况下,测量音叉共振频率及锐度,并与阻尼力小情况进行对比。【实验仪器】 FD-VR-A型受迫振动与共振实验仪(包括主机和音叉振动装置)、加载质量块(成对)、阻尼片、电子天平(共用)、示波器(选做用) 【实验装置及实验原理】 一.实验装置及工作简述 FD-VR-A型受迫振动与共振实验仪主要由电磁激振驱动线圈、音叉、电磁线圈传感器、支座、低频信号发生器、交流数字电压表(0~1.999V)等部件组成(图1所示) 1.低频信号输出接口 2.输出幅度调节钮 3.频率调节钮 4.频率微调钮 5.电压输入接口 6.电源开关 7.信号发生器频率显示窗 8.数字电压表显示窗 9.电压输出接口10.示波器接口Y11.示波器接口X12.低频信号输入接口13.电磁激振驱动线圈14.电磁探测线圈传感器15.质量块16.音叉17.底座18.支架19. 固定螺丝 图1 FD-VR-A型受迫振动与共振实验仪装置图 在音叉的两双臂外侧两端对称地放置两个激振线圈,其中一端激振线圈在由低频信号发生器供给的正弦交变电流作用下产生交变磁场激振音叉,使之产生正弦振动。当线圈中的电流最大时,吸力最大;电流为零时磁场消失,吸力为零,音叉被释放,因此音叉产生的振动频率与激振线圈中的电流有关。频率越高,磁场交变越快,音叉振动的频率越大;反之则小。另一端线圈因为变化的磁场产生感应电流,输出到交流数字电压表中。因为I=dB/dt,而dB/dt取决于音叉振动中的速度v,速度越快,磁场变化越快,产生电流越大,电压表显示的数值越大,即电压值和速度振幅成正比,因此可用电压表的示数代替速度振幅。由此可知,将探测线圈产生的电信号输入交流数字电压表,可研究音叉受迫振动系统在周期外力作用下振幅与驱动力频率的关系及其锐度,以及在增加音叉阻尼力的情况下,振幅与驱动力频率的关系及其锐度。

数电课程设计心得(精选多篇)

数电课程设计心得(精选多篇) 第一篇:数电课程设计心得 1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb 连接图,和芯片上的选择。这个方案总共使用了74ls248 ,cd4510 各两个,74ls04 ,74ls08 ,74ls20 ,74ls74 ,ne555 定时器各一个。 2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。 4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。 通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。 社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。 而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

华科物理实验液体表面张力实验报告

液体表面张力系数的测量 许多涉及液体的物理现象都与液体的表面性质有关,液体表面的主要性质就是表面张力。例如液体与固体接触时的浸润与不浸润现象、毛细现象、液体泡沫的形成等,工业生产中使用的浮选技术,动植物体内液体的运动,土壤中水的运动等都是液体表面张力的表现。 液体表面在宏观上就好像一张绷紧的橡皮膜,存在沿着表面并使表面趋于收缩的应力,这种力称为表面张力,用表面张力系数σ来描述。因此,对液体表面张力系数的测定,可以为分析液体表面的分子分布及结构提供帮助。 液体的表面张力系数σ与液体的性质、杂质情况、温度等有关。当液面与其蒸汽相接触时,表面张力仅与液体性质及温度有关。一般来讲,密度小,易挥发液体σ小;温度愈高, σ愈小。测量液体表面张力系数有多种方法,如拉脱法,毛细管法,平板法,最大泡压法等。本实验是用拉脱法和毛细管法测定液体的表面张力系数。 【实验目的】 1.用拉脱法测量室温下液体(水)的表面张力系数; 2. 用毛细管法测量室温下液体(水)的表面张力系数; 3.学习力敏传感器的使用和定标。 【实验原理】 一、拉脱法 测量一个已知周长L 的金属片从待测液体表面脱离时需要的力,求得该液体表面张力系数的实验方法称为拉脱法.若金属片为环状吊片时,考虑一级近似,可以认为脱离力为表面张力系数乘上脱离表面的周长,即 122()F L D D σσπ=?=?+ (1) 式中,F 为脱离力,D 1,D 2分别为圆环的外径和内径, σ为液体的表面张力系数.脱离力的测量应该为即将脱离液面测力计的读数F 1减去吊环本身的重力mg 。吊环本身的重力即为脱离后测力计的读数F 2。所以表面张力系数为: ) ()(2121211D D F F D D mg F +-=+-=ππσ (2) 硅压阻式力敏传感器由弹性梁和贴在梁上的传感器芯片组成,其中芯片由四个硅扩散电阻集成一个非平衡电桥,当外界压力作用于金属梁时,在压力作用下,电桥失去平衡,此时将有电压信号输出,输出电压大小与所加外力成正此,即 ΔΔU K F = (3) 式中,?U F 为外力的大小,K 为硅压阻式力敏传感器的灵敏度,?U 为传感器输出电压

数字逻辑心得体会

数字逻辑心得体会 数字逻辑心得体会 数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了厚理博术、知行相成的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教

学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译

华中科技大学数字逻辑实验

数字逻辑实验报告(1)数字逻辑实验1 一、系列二进制加法器 设计50% 二、小型实验室门禁系 统设计50% 总成绩 姓名: 学号: 班级: 评语:(包含:预习报告内容、实验过程、实验结果及分析)

指导教师: 计算机科学与技术学院 20 年月日 数字逻辑实验报告系列二进制加法器设计预习报告

一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,

电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器 利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九 个输入A 3、A 2 、A 1 、A 、B 3 、B 2 、B 1 、B 和C ,五个输出S 3 、S 2 、S 1 、S 和C 4 。输入 A= A 3A 2 A 1 A 、B= B 3 B 2 B 1 B 和C 分别为被加数、加数和来自低位的进位,输出S= S 3 S 2 S 1 S 和C o 为本位和和向高位的进位。 (5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性 将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。 图1-1“私有”的先行进位的四位二进制并行加法器 5、实验方案设计 (1)一位二进制半加器的设计方案

华中科技大学物理试卷资料

华中科技大学2008~ 2009学年第1学期 《大学物理(二)》课程考试试卷(A 卷)(闭卷) 考试日期:2008.12.21.晚 考试时间:150分钟 一.选择题(单选,每题3分,共30分) 1、一简谐波沿x 轴负方向传播,圆频率为ω,波速为u 。设t = T /4时刻的波形如图所示,则该波的表达式为: (A))/(cos u x t A y -=ω (B)]2 )/(cos[π ω+ - =u x t A y (C))]/(cos[u x t A y +=ω (D)])/(cos[πω++=u x t A y [ ] 2、一质点作简谐振动, 其运动速度与时间的关系曲线如图所示。若质点的振动规律用余弦函数描述,则其初相位为: (A) 6π (B) 65π (C) 6 5π- (D) 6π- (E) 3 2π- [ ] 得 分 评卷人

3、 使一光强为I 0的平面偏振光先后通过两个偏振片P 1和P 2,P 1和P 2的偏振化方向与原 入射光光矢量振动方向的夹角分别是α和90°,则通过这两个偏振片后的光强I 是 (A ) α202 1cos I (B )0 (C ))2(sin I 412 0α (D )α2 04 1sin I (E )α40cos I [ ] 4、在如图所示的单缝夫琅和费衍射装置中,设中央明 纹的衍射角范围很小.若使单缝宽度a 变为原来的3/2,同时使入射的单色光的波长λ变为原来的3/4,则屏 幕C上单缝衍射条纹中央明纹的宽度Δx 将变为原来的 (A)3/4倍. (B)2/3倍. (C)9/8倍. (D) 1 / 2倍. (E)2倍. [ ] 5、弹簧振子的振幅增加1倍,则该振动: (A)周期增加1倍; (B)总能量增加2倍; (C)最大速度增加1倍; (D)最大速度不变。 [ ] 6、两个线圈P 和Q 并联地接到一电动势恒定的电源上,线圈P 的自感和电阻分别是线圈Q 的两倍,线圈P 和Q 之间的互感可忽略不计。当达到稳定状态后,线圈P 的磁场能量与Q 的磁场能量的比值是 (A )4 (B )2 (C )1 (D )1/2 [ ] 7、N型半导体中杂质原子所形成的局部能级 (也称施主能级),在能带结构中处于 (A)满带中 (B)导带中 (C)禁带中,但接近满带顶 (D)禁带中,但接近导带底 [ ] 8、关于不确定关系式 ≥???x p x ,下列说法中正确的是: (A)粒子的坐标和动量都不能精确确定。 (B)由于微观粒子的波粒二象性,粒子的位置和动量不能同时完全确定。 (C)由于量子力学还不完备,粒子的位置和动量不能同时完全确定。 (D)不确定关系是因为测量仪器的误差造成的。 [ ] λ

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

相关文档
最新文档