哈工大 数电课本 课后习题 答案

哈工大 数电课本 课后习题 答案
哈工大 数电课本 课后习题 答案

(完整版)数字电路与逻辑设计课后习题答案蔡良伟(第三版)

数字电路答案 第一章习题 1-1 (1)10 108222*86*826=+= {{82 010110 262610110== {{2161 6 101100001011016== (2) 210 1081081*85*84*8154=++= {{{82001100 101154154 1101100== {{2166 1101100011011006C C == (3)101 10813.1251*85*81*815.1-=++= {{{82001001 10115.115.1 1101.001== {{2162 1101.0011101.0010.2D D == (4)2101 108131.6252*80*83*85*8203.5-=+++= {{{{82010000011101 203.5203.510000011.101== {{{2168 3 10000011.10110000011.101083.A A == 1-2 (1){{285 5 10110110110155== {{2162 101101001011012D D == 10 810555*85*845=+= (2){{{283 4 5 11100101011100101345== {{2165 11100101111001015E E == 2108103453*84*85*8229=++=

(3){{{285 1 4 101.0011101.001100 5.14== {{2165 3 101.00110101.0011 5.3== 012 8105.145*81*84*8 5.1875--=++= (4){{{287 4 4 100111.101100111.10147.4== {{{2162 7 100111.10100100111.101027.A A == 101 018625.398*58*78*45.47=++=- 1-3 (1)10 810161*86*814=+= {{82001110 16161110== {21611101110E E == (2)210 8101721*87*82*8122=++= {{{82001010 111172172 1111010== {{167 2 7101001111111010 A A == (3)1012 81061.536*81*85*83*849.672--=+++= {{{{82001110101011 61.5361.53110001.101011== {{{{2163 1 110001.10101100110001.1010110031.A C AC == (4)21012 810126.741*82*86*87*84*886.9375--=++++= {{{{{82001010100 110111126.74126.74 1010110.1111== {{{2165 6 1010110.111101010110.111156.F F == 1-4 (1){{ 16200101010 22101010A A == {{285 2 10101010101052== 10 810525*82*842=+=

数电复习题集(含答案解析)-数电复习题集

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BC D D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和输入模

数电课后习题答案

思考题与习题思考题与习题 第一章 【1-1】(1)(1101)2= (13)10(2)(10111)2=(23)10 (3)(110011)2=(51)10 (4)(11.011)2=(3.375)10 【1-2】(1)(35)10=(100011)2 (2)(168)10 =(10101000)2 (3)(19.85)10=(10011.11011)2 (4)(199)10=(11000111)2 【1-3】(1)(1011011682)()55()AD ==(2)(1110011011682)1()715()CD == (3) (11000111011682 )36()1435()D == (4)(1010101111682)157()527()== 【1-4】答:数字逻辑变量能取“1”,“0”值。它们不代表数量关系,而是代表两种状态,高低电平. 【1-5】答:数字逻辑系统中有“与”,“或”,“非”三种基本运算,“与”指只有决定事件发生的所有的条件都成立,结果才会发生,只要其中有一个条件不成立,结果都不会发生. “与“指只要所有的条件中有一个条件成立,结果就会发生,除非所有的条件都不成立,结果才不会发生. ”非“指条件成立,结果不成立。条件不成立,结果反而成立。 【1-6】答:逻辑函数:指用与,或,非,等运算符号表示函数中各个变量之间逻辑关系的代数式子。 将由真值表写出逻辑函数表达式的方法: 1.在真值表中挑选出所有使函数值为1的变量的取值组合。 2.将每一个选出的变量取值组合对应写成一个由各变量相与的乘积项,在此过程中,如果某变量取值为1,该变量以原变量的形式出现在乘积项中,如果某变量取值为0,则该变量以反变量的形式出现在乘积项中。 3.将所有写出的乘积项相或,即可得到该函数的表达式。 【1-7】答:在n 输入量的逻辑函数中,若m 为包含n 个因式的乘积项,而且这n 个输入变量均以原变量或反变量的形式在m 中出现且仅出现一次,这m 称为该n 变量的一个最小项。 只由最小项组成的表达式称为最小项表达式。 【1-8】将n 个变量的全部最小项各用一个小方块表示,并使具有逻辑相邻性的最小项在几何位置上也相邻地排列起来,所得到的图形称为n 变量的卡诺图。

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数电选择题答案及详解

1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。(2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1,K=1时,Q状态为翻转,即Q= Q’ 2 : 已知Y=A+AB′+A′B,下列结果中正确的是()(2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 3 : (1001111)2的等值十进制数是()(2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案:D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 4 : 图中为CMOS门电路,其输出为()状态(2分)(对于CMOS门电路,输入端接负载时,输入电平不变) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变

5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=()(2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0 C: A1′A0D1 D:A1A0′D2 您选择的答案: 正确答案: A 知识点:四选一数据选择器的Y= A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D 6 : 一个同步时序逻辑电路可用()三组函数表达式描述(2分) A:最小项之和、最大项之积和最简与或式 B:逻辑图、真值表和逻辑式 C:输出方程、驱动方程和状态方程 D:输出方程、特性方程和状态方程 您选择的答案: 正确答案: C 知识点:时序逻辑电路的逻辑关系需用三个方程即输出方程、驱动方程及状态方程来描述。 7 : (1010.111)2的等值八进制数是()(2分) A:10. 7 B:12. 7 C:12. 5 D:10. 5 您选择的答案: 正确答案: B 知识点:把每三位二进制数分为一组,用等值的八进制数表示。 8 : 一位十六进制数可以用()位二进制数来表示。(2分) A:1 B:2 C:4 D:16 您选择的答案: 正确答案: C 知识点: 9 : TTL同或门和CMOS同或门比较,它们的逻辑功能一样吗?(2分)

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数电课后答案

《时序逻辑电路》练习题 [5.1] 分析图P5.8的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表5.3.4。 [5.2] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表如表5.3.4所示。 [5.11]试分析图P5.11的计数器在M=1和M=0时各为几进制。74LS160的功能表同上题。 [5.12]图P5.12电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。74LS161的功能表见题5.10。 [5.13]设计一个可控制进制的计数器,当输入控制变量M=0时工作在五进制,M=1

时工作在十五进制。请标出计数输入端和进位输出端。 [解] 见图A5.13。 [5.15]试分析图P5.15计数器电路的分频比(即Y与CP的频率之比)。74LS161的功能表见题5.10。 [解] 利用与上题同样的分析方法,可得74LS161(1)和74LS161(2)的状态转换图如图A5.15(a)、(b)所示。可见,74LS 161(1)为七进制计数器,且每当电路状态由1001~1111时,给74LS 161(2)一个计数脉冲。74LS 161(2)为九进制计数器,计数状态由0111~1111循环。整个电路为63进制计数器,分频比为1:63。 [5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见题5.10。 [解] 第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片中串联组成71~90的二十进制计数器。

阎石数字电路课后答案第一章习题答案

第一章 二进制到十六进制、十进制 (1)()2=(97)16=(151)10 (2)(1101101)2=(6D)16=(109)10 (3)2=16=(0.)10 (4)2=16=10 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (2)(127)10=(1111111)2=(7F)16 16 21016210)3.19()1010 1(11001.101(25.7)(4))A D7030.6()0101 0000 0111 1101 0110 (0.0110(0.39)(3) B 用公式化简逻辑函数 (1)Y=A+B (3)Y=1 ) =+(解:1A A 1)2( C B A C C B A C B Y C B A C B A Y AD C C B AD C B C B AD D C A AB D CD B A Y )()(Y )4(解: (5)Y=0 (7)Y=A+CD E ABCD E C ABCD CE AD B BC CE AD B BC Y CE AD B BC B A D C AC Y )()()() ()()6(解: C B A C B C B A A C B A C B A C B A C B C B A A C B A C B A C B A Y C B A C B A C B A Y )() )(())()(() )()((8解:)( D A D A C B Y )9( E BD E D B F E A AD AC Y )10( (a) C B C B A Y (b) C B A ABC Y (c) ACD D C A D C A B A Y D AC B A Y 21, (d) C B A ABC C B A C B A Y BC AC AB Y 21, 1.10 求下列函数的反函数并化简为最简与或式 (1)C B C A Y (2)D C A Y C B C B AC C B AC B A BC AC C A B A BC AC C A B A Y BC AC C A B A Y ))((]))([())(())(()3(解: (4)C B A Y D C AB D C B D C A D C B D A C A C D C B C A D A Y C D C B C A D A Y )() )(())()(()5(解: (6)0 Y 1.11 将函数化简为最小项之和的形式 C B A C B A ABC BC A C B A C B A C B A ABC BC A C B A A C B B A BC A C B AC BC A Y C B AC BC A Y )()()1(解: D C B A CD B A D C B A ABCD BCD A D C B A Y )(2

数电选择题2及答案详解

1 : (110.1)2的等值十六进制数是()(2分) A:110.1 B:15. 5 C:6. 8 D:2. 1 您选择的答案: 正确答案: C 知识点:把每四位二进制数分为一组,用等值的十六进制数表示。 2 : 两输入的与门在下列()时可能产生竞争—冒险现象(2分)门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 A:一个输入端为0,另一个端为1 B:一个输入端发生变化,另一个端不变 C:两个不相等的输入端同时向相反的逻辑电平跳变 D:两个相等的输入端同时向相反的逻辑电平跳变 您选择的答案: 正确答案: C 知识点:门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 3 : 电路如下图所示,设起始状态Q2Q1=00,第3个上升沿,Q2Q1变为( ) (5分) A:00 B:01 C:10 D:11 您选择的答案: 正确答案:D 知识点:参考T触发器的特性表 您选择的答案: 正确答案: A 4 : 逻辑函数Y(A, B, C, D)=∑m(0,2,4,6,9,13) + d(1,3,5,7,11,15)的最简与或式为()(5分) A:AD+A’D’ B:A’+D C: A+D D:A’C+AD 您选择的答案: 正确答案: B 知识点:化简具有无关项的逻辑函数最好用卡诺图的方法。 5 : 图中为TTL门电路,其输出为()状态(2分)

A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: C 知识点:图示中,控制端低电平电平有效。控制端无效时输出为高阻态 6 : 逻辑函数Y=(A’+D)(A C+B C’) ’+A B D’ 的Y’ 是()(2分) A:(AD’+(A’+C’)(B’+C))(A’+B’+D) B:(AD’+((A’+C’)(B’+C))’)(A’+B’+D) C:AD’+(A’+C’)(B’+C)(A’+B’+D) D:AD’+((A’+C’)(B’+C))’(A’+B’+D) 您选择的答案: 正确答案: B 知识点:利用反演定理求Y’时,要注意:利用加括号的方式保证原来的运算顺序不变;非单个变量上的非号不变。 7 : ()的特性方程为Q*=A (2分) A: D触发器 B:T触发器 C:JK触发器 D: SR触发器 您选择的答案: 正确答案: A 知识点:D触发器的特性方程为Q*=D 8 : 组合逻辑电路消除竞争冒险的方法有((2分) A:修改逻辑设计 B:在输出端接入滤波电容 C:后级加缓冲电路 D:屏蔽输入信号的尖峰干扰 您选择的答案: 正确答案: B 知识点:输出端接入滤波电容可以滤除竞争冒险产生的尖峰 9 : (1001111)2的等值十进制数是()(2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案:D

数电课后习题及答案精修订

数电课后习题及答案 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

题1.1完成下面的数值转换:(1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101) 2②(11011.110) 2 ③() 2 解:①(0011101) 2 =1×24+ 1×23+ 1×22+ 1×20=(29) 10 (0011101) 2 =(0 011 101) 2 = (35) 8 (0011101) 2 =(0001 1101) 2 = (1D) 16 ② (27.75) 10,(33.6) 8 ,(1B.C) 16 ; ③ (439) 10,(667) 8 ,(1B7) 16 ; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制 数。①(89) 10②(1800) 10 ③(23.45) 10 解得到:① (1011001) 2,(131) 8 ,(59) 16 ; ② ) 2,(3410) 8 ,(708) 16 ③ (10111.0111) 2,(27.31) 8 ,(17.7) 16 ; (3)求出下列各式的值。①(54.2) 16=() 10 ②(127) 8 =() 16 ③(3AB6) 16=() 4 解① (84.125) 10;② (57) 16 ;③ (3222312) 4 ;

题1.2 写出5位自然二进制码和格雷码。 题1.3 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10 解(1)1011;(2)1010;(3)0110 题1.4 直接写出下面函数的对偶函数和反函数。 解 题1.5 证明下面的恒等式相等 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC+ABC'+ABC+ A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD,对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题1.7 在下列各个逻辑函数中,当变量A、B、C为哪些取值组合时,函数Y的值为1。

数电课后答案康华光第五版(完整)

数电课后答案康华光第五版(完整)

第一章数字逻辑习题1.1数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2数制 1.2.2将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于42 (2)127 (4)2.718 解:(2)(127)D=72-1=(10000000)B-1=(1111111)B=(177)O=(7F)H

(4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4二进制代码 1.4.1将下列十进制数转换为8421BCD码:(1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6逻辑函数及其表示方法 1.6.1在图题1. 6.1中,已知输入信号A,B`的波形,画出各门电路输出L的波形。

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电课后习题及答案

题1.1 完成下面的数值转换: (1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101)2②(11011.110)2③(110110111)2 解:①(0011101)2 =1×24+ 1×23+ 1×22+ 1×20=(29)10 (0011101)2 =(0 011 101)2= (35)8 (0011101)2 =(0001 1101)2= (1D)16 ②(27.75)10,(33.6)8,(1B.C)16; ③(439)10,(667)8,(1B7)16; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制数。①(89) ②(1800)10③(23.45)10 10 解得到:①(1011001)2,(131)8,(59)16; ②(11100001000) 2,(3410) 8,(708) 16 ③(10111.0111) 2,(27.31) 8,(17.7) 16; (3)求出下列各式的值。①(54.2)16=()10 ②(127)8=()16 ③(3AB6)16=()4解①(84.125)10;②(57)16;③(3222312)4; 题1.2 写出5位自然二进制码和格雷码。 题1.3 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10

解(1)1011;(2)1010;(3)0110 题1.4 直接写出下面函数的对偶函数和反函数。 ()()Y AB C D E C '=++ ()()Y AB A C C D E ''=+++ (())Y A B C D E '''=++++ ()Y A B C A B C '''=++ 解 (1)(())(())(2)()(())()(())(3)(())(())(4)D D D D Y A B C D E C Y A B C D E C Y A B A C C D E Y A B AC C D E Y A BC DE Y A B C D E Y ABC A B C Y A B C A B C '''''''=+++=+++''''''''=+++=+++''''''''''=='''''''=+++=+++,,,, 题1.5 证明下面的恒等式相等 ()()()()()()()()AB C B ABC A BC ABC AB B A B A B BC AD A B B D A C C D A C B D B D AB BC ''+=++''++=++=++++'''+++=+ 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC +ABC'+ABC + A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD , 对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题1.7 在下列各个逻辑函数中,当变量A 、B 、C 为哪些取值组合时,函数Y 的值为1。 Y AB BC A C '=++ Y AB A B C A B ABC '''''=+++ Y AB A B C A B ABC '''''=+++ ()Y AB BC A B '=++ Y=AB+BC+A'C = AB(C+C')+BC (A+A')+A'C(B+B') =m7+m6+m1+m3 使以上四个最小项为1时,Y 为1. 即:111;110;011;001 (2)000,001,011,100 (3)100,101,000,011,010,111 (4)110,111,010 题1.8 列出下面各函数的真值表

数字电子技术基础试题及答案 (1)

数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=Ω,C=μF 。试求脉冲宽度 T ,振荡频率f 和占空 比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号 ………

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。 图4

数电课后答案康华光

第一章数字逻辑习题 1.1数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2数制 2 1.2.2将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于4(2)127 (4)2.718 解:(2)(127)D=72-1=(10000000)B-1=(1111111)B=(177)O=(7F)H (4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4二进制代码 1.4.1将下列十进制数转换为8421BCD码: (1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6逻辑函数及其表示方法 1.6.1在图题1. 6.1中,已知输入信号A,B`的波形,画出各门电路输出L的波形。

相关文档
最新文档