(完整版)数字电子技术第四章答案

(完整版)数字电子技术第四章答案
(完整版)数字电子技术第四章答案

习题4

4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。

解:图(a ):1F AB =;2

F A B =e ;3F AB = 真值表如下表所示: A B 1F

2F

3F

0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1

1

1

其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

2F

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m =

=∑∏

2(0,1,2,4)(3,5,6,7)F M m ==∑∏

真值表如下表所示:

功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。

图(d ):1F AB =;2

F A B =e ;3F AB =

功能:为一位比较器,AB 时,3F =1

4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为:

100101102103F A A x A A x A A x A A x =+++

因此该电路是一个四选一数据选择器,其真值表如下表所示:

1A

0A

F

0 0 0x 0 1 1x 1 0 2x 1 1

3x

4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

解:该电路的输入为3x 2x 1x 0x ,输出为3Y 2Y 1Y 0Y 。真值表如下: 3x

2x

1x

0x

3Y

2Y

1Y

0Y

M=1

0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 0

1 1 0 0 1 0 0 M=0 1 0 0 0 1 1 1 1 1 0 0 1 1 1 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 0 1 1 1 0 0 1 0 0 0 1 1 0 1 1 0 0 1 1 1 1 0 1 0 1 1 1

1

1

1

1

1

由此可得:1M =当时,33

232121010

Y x Y x x Y x x Y x x =??=⊕??

=⊕??=⊕? 完成二进制至格雷码的转换。

0M =当时,33

232

1321210321010

Y x Y x x Y x x x Y x Y x x x x Y x =??=⊕??

=⊕⊕=⊕??=⊕⊕⊕=⊕? 完成格雷码至二进制的转换。

4-4 图P4-4是一个多功能逻辑运算电路,图中3S ,2S ,1S ,0S 为控制输入端。试列表说明电路在3S ,2S ,1S ,0S 的各种取值组合下F 与A,B 的逻辑关系。

解:3210()()F S AB S AB S B S B A =+⊕++,功能如下表所示,

3S

2S

1S

0S

F

3S

2S

1S

0S

F

0 0 0 0 A

1 0 0 0 AB

1

A B

+

1

1

A B

0 0 1 0

A B

+

1 0 1 0

B

0 0 1 1 1 1 0 1 1

AB

0 1 0 0 AB 1 1 0 0 0

0 1 0 1 B 1 1 0 1 AB

0 1 1 0 A B

e 1 1 1 0 A B

+

0 1 1 1 A B

+ 1 1 1 1 A

两个变量有四个最小项,最多可构造42种不同的组合,因此该电路是一个能产生十六种函数的多功能逻辑运算器电路。

4-5 已知某组合电路的输出波形如图P4-5所示,试用最少的或非门实现之。

解:()(1,3,6,7)(0)()

F ABC m A C A B

φ

=+=+++

∑∑

电路图如下:

A

B

C

F

4-6 用逻辑门设计一个受光,声和触摸控制的电灯开关逻辑电路,分别用A,B,C表示光,声和触摸信号,用F表示电灯。灯亮的条件是:无论有无光,声信号,只要有人触摸开关,灯就亮;当无人触摸开关时,只有当无关,有声音时灯才亮。试列出真值表,写出输出函数

表达式,并画出最简逻辑电路图。 解:根据题意,列出真值表如下:

由真值表可以作出卡诺图,如下图:

C AB 00 10 11 10 0 1

由卡诺图得到它的逻辑表达式为: 由此的到逻辑电路为:

C

4-7 用逻辑门设计一个多输出逻辑电路,输入为8421BCD 码,输出为3个检测信号。要求:

(1) 当检测到输入数字能被4整除时,1F =1。 (2) 当检测到输入数字大于或等于3时,2F =1。 (3) 当检测到输入数字小于7时,3F =1。 解:1()f ABCD CD =

2()f ABCD A B CD =++

F AB C

=+

3()f ABCD AC AB CD =++

4-8 用逻辑门设计一个两位二进制数的乘法器。

解:二进制乘法:设两个2位二进制数的乘法运算结果为:10103210()()A A A B B B P P PP ?= 电路图如下图所示:

4-9 设计一个全加(减)器,其输入为A,B,C 和X(当X =0时,实现加法运算;当X =1时,实现减法运算),输出为S(表示和或差),P (表示进位或借位)。列出真值表,试用3个异或门和3个与非门实现该电路,画出逻辑电路图。

解:根据全加器和全减器的原理,我们可以作出如下的真值表:

1 B 3 B

2 B 1 B 0

由真值表可以画出卡诺图,由卡诺图得出逻辑表达式,并画出逻辑电路图:

A B C X

P

4-10 设计一个交通灯故障检测电路,要求红,黄,绿三个灯仅有一个灯亮时,输出F =0;若无灯亮或有两个以上的灯亮,则均为故障,输出F =1。试用最少的非门和与非门实现该电路。要求列出真值表,化简逻辑函数,并指出所有74系列器件的型号。 解:根据题意,我们可以列出真值表如下:

对上述的真值表可以作出卡诺图,由卡诺图我们可以得出以下的逻辑函数:

F AB AC BC ABC AB AC BC ABC =+++=???

逻辑电路图如下所示:

A

B

C

F

4-11试用两片8线-3线优先编码器74LS148组成16线-4线优先编码器,画出逻辑电路图,说明其逻辑功能。

解:逻辑电路图如下:

S S

I

1

I

2

I

3

I

4

I

5

I

6

I

7

I

8

I

9

I

10

I

11

I

12

I

13

I

14

I

15

I

2

Y

2

Y

1

Y

1

Y

Y

Y

EX

Y

EX

Y

S

Y

S

Y

Y

1

Y

2

Y

3

Y

逻辑功能:是一个16-4编码器。

4-12 (1)图P4-12为3个单译码逻辑门译码器,指出每个译码器的输出有效电平以及相应的输出二进制码,写出译码器的输出函数表达式。

(2)试画出与下列表达式对应的单译码器逻辑电路图。

3210

Y A A A A

=②

3210

Y A A A A

=③

43210

Y A A A A A

=

1

S=

解:对于(a )图来说。3210Y A A A A = (b) 210Y A A A = (c) 3210Y A A A A = 对于(1)逻辑电路图为:

A 2A 1A 0

A

(2)逻辑电路图如下图:

3A 2A A 0A

(3)逻辑电路图如下图:

3A 2A

A 0A 4A

4-13 试用一片3-8译码器和少量逻辑门设计下列多地址输入的译码电路。

(1) 有8根地址输入线7A ~1A ,要求当地址码为A8H,A9H ,…,AFH 时,译码器输出为

0Y ~7Y 分别被译中,且地电平有效。

(2) 有10根地址输入线9A ~0A ,要求当地址码为2E0H,2E1H, …,2E7H 时,译码器输

出0Y ~7Y 分别被译中,且地电平有效。

解:(1)当122100A B E E E =,即75364210111,00,A A A A A A A A ==从000~111变化时07~Y Y 分别被译中,电路如下图所示:

Y Y (2)当122100A B E E E =,即97538432101111,000,A A A A A A A A A A ==从000~111变化时,

07~Y Y 分别被译中。电路如下图所示:

Y Y 3

4-14 试用一片3-8译码器74LS138和少量的逻辑门实现下列多输出函数: (1)1F AB ABC =+ (2) 2F A B C =++ (3) 3F AB AB =+ 解:1067

(0,6,7)F m Y Y Y

=

=∑

211(0,2~7)F m M Y ===∑ 312345(2,3,4,5)F m M Y Y Y Y ===∑

电路图如下图所示:

1F 2

F F

4-15 某组合电路的输入X 和输出Y 均为三位二进制数。当X<2时,Y=1;当25X ≤≤时,Y=X+2;当X>5时,Y=0。试用一片3-8译码器和少量逻辑门实现该电路。 解:由题意列出真值表如下:

电路图如下图所示:输入X =ABC ,输出为Y 。

1E 2E 3

E 3A 2A 1A 3Y 2Y 1Y 0

Y 4Y 5Y 6Y 7Y 38-译码器

1

02Y X =+

4-16 由3-8译码器74LS138和逻辑门构成的组合 逻辑电路图P4-16所示。 (1) 试分别写出1F ,2F 的最简与或表达式。

(2) 试说明当输入变量A,B,C,D 为何种取值时,1F =2F =1。

解:(1)当D=1时,2

1F =??=?1F

当D=0时,0342467(,,)(,,)()()()

A B C m m m ABC ABC ABC

F A B C m m m A B C A B C A B C ?=++=++??==++++++??1F

将1F ,2F 分别填入四变量的卡诺图后可得:

(,,)(0,6,8)()()()A B C m D B C B C A B ==+

++∑1F 2(,,)(8,12,14)()()F A B C M A B D A C D ==++++∏

(2)当ABCD=0000或0110时,1F =2F =1 4-17 已知逻辑函数(,,,)(1,3,7,9,15)F a b c d m =∑,试用一片3-8译码器74LS138和

少量逻辑门实现该电路。 解:由题意的,(,,,)(1,3,7,9,15)()F a b c d m ABC ABC ABC ABC ABC D ==++++∑

电路图如下图所示:

2A 1A 0

A 1E 2A E 2

B E 6Y 7

Y 5Y 4Y 3Y 2Y 1Y 0Y 38-译码器

A B

1

D

F

4-18 某2-4译码器的逻辑符号和功能表如图P4-18所示。试用尽量少的译码器和或门实现下列函数(允许反变量输入): (1)(,,,)F W X Y Z W X Y X YZ WZ =++ (2)(,,,)G W X Y Z WYZ X Y =+

解:根据题意,输入分别为X,Y ,W,Z 。

对于(1)来说,我们可以作出如下的逻辑电路图:

3

Y 2Y 1

Y 0Y 0A 1A 1

E 2

E F

(2)由题,我们可以得出如下的逻辑电路图:

3

Y 2Y 1Y 0Y 0A 1A 1

E 2

E F

4-19 由3-8译码器构成的脉冲分配器电路图如图P4-19所示。 (1) 若CP 脉冲信号加在2E 端,试画出0Y ~7Y 的波形; (2) 若CP 脉冲信号加在1E 端,试画出0Y ~7Y 的波形。

解:(1)CP=1时,i 1Y =;CP=0时07~Y Y 按210A A A 的变化分别译码。波形如下图所示:

CP 0A 1A 2A 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y

(2)CP=1时07~Y Y 按210A A A 的变化分别译码;CP=0时,i 1Y =。波形图如下图所示:

CP 0A 1A 2A 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y

4-20 试用三片3-8译码器组成5-24译码器。

解:5-24译码器如下图所示,图中:43210A A A A A 为地址输入,0123Y Y Y L 为译码输出。 当4300A A =时,左边第一片译码器工作,

4301A A =时,中间一片译码器工作; 43A A =10时,右边一片译码器工作; 43A A =11时,三片译码器全部禁止。

A A A A A

0Y 7Y 8Y 15Y 16Y 23

Y 4-21 用一片BCD 码十进制译码器和附加门实现8421BCD 码至余3码的转换电路。 解:3(5,6,7,8,9)E m =

2(1,2,3,4,9)E m =∑ 1(0,3,4,7,8)E m =∑ 0(0,2,4,6,8)E m =∑

电路图如下图所示:

8421

(8421)

BCD 码输入3

210

4-22 试用一片4-16译码器组成一个5421BCD 码十进制数译码器。 解:根据四位二进制码和5421BCD 码的对应关系,可得如下图所示的电路:

5421

Y Y (8421)

BCD 码输入

4-23 试用8选1数据选择器74LS151实现下列逻辑函数(允许反变量输入,但不能附加门电路):

(1)F A B AC BC =⊕⊕⊕ (2) (,,)(0,2,3,6,7)F A B C m =

(3)(,,,)(0,4,5,8,12,13,14)F A B C D m =∑ (4) (,,,)(0,2,5,7,8,10,13,15)F A B C D m =∑

(5) (,,,)(0,3,5,8,11,14)(1,6,12,13)F A B C D m d =+∑∑

解: (1) (2,4)()

(0,0,1,0,1,0,0,0)T m

F m ABC =

=∑

(2) ()(1,0,1,1,0,0,1,1)T m F ABC =

(3)

()(1,,0,0,1,,,0)()(1,0,0,0,1,1,,0)()(,0,1,0,,0,1,)

T T m m T

m F ACD B B B BCD A ABC D D D ===

(4) (0)(1,0,0,1,0,0,0,0)(0)(1,0,0,0,0,0,1,0)T T

m m F BD BD ==

(5)

()(,1,0,,1,0,,)()(1,0,0,1,0,1,1,0)()(1,,,0,,,0,)

T T m m T

m F ACD B B B B BCD ABC D D D D D ===

4-24 试用16选1数据选择器和一个异或门实现一个八用逻辑电路。其功能要求如表P4-1所示。

解:根据真值表得出:210()F f S S S AB =,即F 为五变量函数。若令10()Y f S S AB =,则有2F S Y =⊕,即2S =0时F Y =,2S =1时F Y =,因此可先用16选1MUX 来实现Y ,再加一个异或门实现F 。所以2F S Y =⊕。

210()(0,0,0,0,0,1,1,1,1,1,1,0,0,1,1,1,0)T m F AS S S =

电路图如下:

S 1S S A B

4-25 由74LS153双4选1数据选择器组成的电路如图P4-25所示。 (1) 分析该电路,写出F 的最小项表达式(,,,)F A B C D (2) 改用8选1 实现函数F ,试画出逻辑电路。

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路及其应用(一)

数字电路及其应用(一) 编者的话当今时代,数字电路已广泛地应用于各个领域。本报将 在“电路与制作”栏里,刊登系列文章介绍数字电路的基本知识和应用实例。 在介绍基本知识时,我们将以集成数字电路为主,该电路又分TTL和CMOS 两种类型,这里又以CMOS集成数字电路为主,因它功耗低、工作电压范围宽、扇出能力强和售价低等,很适合电子爱好者选用。介绍应用时,以实 用为主,特别介绍一些家电产品和娱乐产品中的数字电路。这样可使刚入门的 电子爱好者尽快学会和使用数字电路。一、基本逻辑电路 1.数字电路 的特点 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模 拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者 涉及数字信号,即断续变化的物理量,如图1所示。当把图1的开关K快速通、断时,在电阻R上就产生一连串的脉冲(电压),这就是数字信号。人们把用来 传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作 时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电 位用代码“1”表示,称为逻辑“1”;低电位用代码“0”表示,称为逻辑“0”(按正逻 辑定义的)。注意:有关产品手册中常用“H”代表“1”、“L”代表“0”。实际的数字 电路中,到底要求多高或多低的电位才能表示“1”或“0”,这要由具体的数字电 路来定。例如一些TTL数字电路的输出电压等于或小于0.2V,均可认为是逻 辑“0”,等于或者大于3V,均可认为是逻辑“1”(即电路技术指标)。CMOS数字 电路的逻辑“0”或“1”的电位值是与工作电压有关的。讨论数字电路问 题时,也常用代码“0”和“1”表示某些器件工作时的两种状态,例如开关断开代 表“0”状态、接通代表“1”状态。 2.三种基本逻辑电路

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.360docs.net/doc/e816611508.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

[数字电子技术及应用(第2版)习题答案第4单元习题答案

自我检测题 一、填空题 4-1 555定时器根据内部器件类型可分为双极型和单极型,它们均有单或双定时器电路。双极型型号为 555 和 556 ,电源电压使用范围为5~16V ;单极型型号为7555和7556 ,电源电压适用范围为3~18V 。 4-2 555定时器最基本的应用有 单稳态触发器 、 施密特触发器和多谐振荡器三种电路。 4-3 555定时器构成的施密特触发器在5脚未加控制电压时,正向阈值电压+T U 为 CC V 32V ;负向阈值电压-T U 为 CC V 31 V ;回差电压T U ?为 CC V 3 1 V 。 4-4晶片的两个基板在电场的作用下,产生一定频率的 机械变形 。而受到一定方向的外力时,会在相应的两个表面上产生 相反 的电荷,产生电场,这个物理现象称为 压电效应 。 4-5石英晶体有两个谐振频率,分别为 串联谐振频率 和 并联谐振频率 。 二、选择题、判断题 4-6 用555定时器组成单稳态触发电路时,当控制电压输入端无外加电压时,则其输出脉宽t w = A 。 A 、1.1RC B 、0.7 R C C 、1.2 RC 4-7 用555定时器组成的单稳态触发器电路是利用输入信号的下降沿触发使电路输出单脉冲信号。( ) 4-8为了获得输出振荡频率稳定度高的多谐振荡器一般选用 B 组成的振荡器 A 、555定时器 B 、反相器和石英晶体 C 、集成单稳态触发器 练习题 4-1 555定时器由哪几个部分组成? 答:略。 4-2施密特触发器、单稳态触发器、多谐振荡器各有几个暂稳态,几个稳定状态? 答:略。 4-3由555定时器构成的施密特触发器在5脚加直流控制电压U CO 时,回差电压为多少? 答:CO U 2 1 4-4由555定时器构成的多谐振荡器如图4-12所示,已知,R 1=R 2=5.1kΩ,C =0.01μF ,V CC =+12V ,则电路的振荡频率是多少? 答:9.337KHZ 4-5由555定时器构成的施密特触发器输入波形如图题4-5所示,试对应画出输出波形。

数字电子技术第五章作业及答案

第五章(时序逻辑电路)作业 1、时序逻辑电路如图5-1所示,试分析该电路的逻辑功能。要求:写出电路的激励方程、状态方程和状态转换表,画出电路的状态转换图,并说明电路能否自启动。 图5-1 时序逻辑电路 答案:同步四进制减法计数器。能自启动。 2、试用文字语言描述图5-2所示状态图的状态变化情况,并写出其相应的状态转换表。

. A B C D 0/1 0/0 0/0 0/01/0 1/0 1/0 1/1 . . . . 图5-2 状态图 解: 状态A :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态B ,输出0 状态B :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态C ,输出0 状态C :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态D ,输出0 状态D :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态D ,输出1 该状态为梅里状态机。 3、图5-3所示的是5位右移寄存器与输入信号DATA 、时钟CLK 的波形图,设寄存器初始状态为00000,试画出寄存器输出Q 4~Q 0的波形图。 11 01 0DATA CLK DATA CLK . . 0123 4 . . 图5-3 5位右移寄存器与DATA 、CLK 的波形图 4、试用JK 触发器设计一个同步八进制计数器,其状态S 0、S 1…S 7的编码分别为000、001、011、010、110、111、101、100。

答案: J3= Q2___Q1 J2= ___Q3Q1 J1= Q3⊕___Q2 K3= ___Q2___Q1 K2= Q3Q1 K1= Q3⊕Q2 5、试用上升沿JK触发器构成异步3位二进制加法计数器,要求画出逻辑电路图,以及计数器输入时钟CLK与JK触发器输出端Q2~Q0的波形图。 6、图5-4所示为异步4位二进制加法计数器74LS293组成的计数器电路,试说明该计数电路是多少进制计数器,并说明复位信号RESET的有效电平, . . 图5-4 74LS293组成的计数器电路 解:RESET有效电平为低电平。 该计数器是13进制计数器。 7、试用74LS161连接成计数长度M=8的计数器,可采用几种方法?并画出相应的接线图。

杭州电子科技大学数字电路期末考试试卷及答案

8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 13.给36个字符编码,至少需要____6______位二进制数。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 21.(本题满分6分)用卡诺图化简下列逻辑函数 ∑ =)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F 解:画出逻辑函数F 的卡诺图。得到 D B D A C B C A AB F ++++= 22. (本题满分8分)电路如图所示,D 触发器是正边沿触发器,图中给出了时钟CP 及输入K 的波形。 (1)试写出电路次态输出1+n Q 逻辑表达式。(2)画出Q Q ,的波形。

由出真值表写出逻辑函数表达式,并化简 )(B A C C A C B A BC A C B A C B A F ⊕+=++ += 画出逻辑电路图 四、综合应用题(每小题10分,共20分) 25.3-8译码器74LS138逻辑符号如图所示,S1、2S 、3S 为使能控制端。试用两片74LS138构成一个4-16译码器。要求画出连接图说明设计方案。 装 订

数字电路的应用

数字电路的应用 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二进制数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。 数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。逻辑门是数字电路中一种重要的逻辑单元电路。TTL 逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近几年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字电路或数字集成电路是由许多的逻辑门组成的复杂电路。与模拟电路相比,它主要进行数字信号的处理(即信号以0与1 两个状态表示),因此抗干扰能力较强。数字集成电路有各种门电路、触发器以及由它们构成的各种组合逻辑电路和时序逻辑电路。一个数字系统一般由控制部件和运算部件组成,在时脉的驱动下,控制部件控制运算部件完成所要执行的动作。通过模拟数字转换器、数字模拟转换器,数字电路可以和模拟电路互相连接。 分类 按功能来分: 1、组合逻辑电路 简称组合电路,它由最基本的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 2、时序逻辑电路 简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路(输出到输入)或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

万里学院-数字电子技术-第五章习题及参考答案

第五章习题 1.题图5-1所示电路是用两片555构成的脉冲发生器,试画出Y 1和Y 2两处的输出波形,并标注主要参数(参数只需估算)。 题图5-1 2.题图5-2所示的555定时器构成的单稳态触发器及输入v I 的波形,求: (1)输出信号v O 的脉冲宽度T W ; (2)对应v I 画出v C 、v O 的波形,并标明波形幅度。 v I /V CC /3 v I v O 题图5-2 3.由555定时器组成的多谐振荡器如图5-3所示,已知V DD =12V 、C =0.1μF 、R 1=15k Ω、 R 2=22k Ω。试求:(1)多谐振荡器的振荡周期;(2)画出的v C 和v O 波形。 题图5-3 4.由555定时器、3位二进制加计数器、理想运算放大器A 构成如题图5-4所示电路。设计数器初始状态为000,且输出低电平V OL =0 V ,输出高电平V OH =3.2 V ,R d 为异步清零端,高电平有效。 (1)说明虚框(1)、(2)部分各构成什么功能电路?(2)虚框(3)构成几进制计器? (3)对应CP 画出v O 波形,并标出电压值。 题图5-4 5.用集成芯片555构成的施密特触发器电路及输入波形i v 如题图5-5所示,要求: (1)求出该施密特触发器的阈值电压V T +、V T -;(2)画出输出v o 的波形。 题图5-5 6.用集成定时器555构成的电路及可产生的波形如题图5-6(a )、(b )所示,试回答: (1)该电路的名称;(2)指出(b )图中v C 波形是1~8引脚中,哪个引脚上的电压波形; (3)求出矩形波的宽度t W 。

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

数字电子技术基础期末考试试卷及答案

数字电子技术基础试题(一) 一、填空题:(每空1分,共10分) 1.(30.25)10=(11110.01)2=(1E.4)16。 2.逻辑函数L=+A+B+C+D=1。 3.三态门输出的三种状态分别为:、和。 4.主从型JK触发器的特性方程=。 5.用4个触发器可以存储位二进制数。 6.存储容量为4K×8位的RAM存储器,其地址线为12条、数据线为8 条。 1.(30.25)10=(11110.01)2=(1E.4)16。 2.1。 3.高电平、低电平和高阻态。 4.。 5.四。 6.12、8 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下, 输出电压波形恒为0的是:(C)图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D)。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接VCC 4.图2所示电路为由555定时器构成的(A)。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A)。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形uI和输出波形uO如下图所示,则该电路为(C)。

[数字电子技术及应用(第2版)习题答案第1单元习题答案

自我检测题: 一、填空题 1-1 (1001010)2 =( 112 )8 =( 4A )16 =( 74 )10 1-2 (37.375)10 =( 100101.011 )2 =( 45.3 )8 =( 25.6 )16 1-3 (CE)16=( 11001110 )2 =( 316 )8 =( 206 )10 =( 001000000110 )8421BCD 1-4在逻辑代数运算的基本公式中,利用分配律可得A (B +C )= AB+AC ,A +BC = (A+B)(A+C) ,利用反演律可得ABC = C B A ++ ,C B A ++ = C B A 。 1-5在数字电路中,半导体三极管多数主要工作在 截止 区和 饱和 区。 1-6 COMS 逻辑门是 单 极型门电路,而TTL 逻辑门是 双 极型门电路。 1-7 COMS 集成逻辑器件在 功耗 、 抗干扰 方面优于TTL 电路,同时还具有结构相对简单,便于大规模集成、制造费用较低等特点。 1-8 CT74 、 CT74H 、 CT74S 、 CT74LS 四个系列的 TTL 集成电路,其中功耗最小的为 CT74LS ;速度最快的为 CT74S ;综合性能指标最好的为 CT74LS 。 二、选择题 1-9指出下列各式中哪个是四变量A、B、C、D的最小项( C )。 A 、ABC B 、A+B+C+D C 、ABCD D 、AC 1-10逻辑项D BC A 的逻辑相邻项为( A )。 A 、ABCD — B 、ABCD C 、AB — CD D 、ABC — D 1-11当利用三输入的逻辑或门实现两变量的逻辑或关系时,应将或门的第三个引脚( B )。 A 、接高电平 B 、接低电平 C 、悬空 1-12当输入变量A 、B 全为1时,输出为0,则输入与输出的逻辑关系有可能为( A )。 A 、异或 B 、同或 C 、与 D 、或 1-13TTL 门电路输入端悬空时应视为( A )电平,若用万用表测量其电压,读数约为( D )。 A 、高 B 、低 C 、3.5V D 、1.4V E 、0V 三、判断题 1-14用4位二进制数码来表示每一位十进制数码,对应的二—十进制编码即为8421BCD 码。( × ) 1-15因为逻辑式A+(A+B)=B+(A+B)是成立的,所以在等式两边同时减去(A+B)得:A=B 也是成立的。(× ) 1-16对于54/74LS 系列与非门,输出端能直接并联。(× ) 1-17三态输出门有高电平、低电平和高阻三种状态。( ) 1-18在解决“线与”问题时,OC 门是指在COMS 电路中采用输出为集电极开路的三极管结构,而OD 门指在TTL 电路中采用漏极开路结构。(× )

数字电子技术的应用

龙源期刊网 https://www.360docs.net/doc/e816611508.html, 数字电子技术的应用 作者:尹润翔 来源:《电子技术与软件工程》2017年第10期 数字电路中逻辑门电路是最基本的电路逻辑元件。所谓“门”就是一种开关,它能按照某些条件去控制电子信号的通过或不通过。门电路的信号输入和信号输出之间存在一定的逻辑关系(因果关系),所以门电路又称为逻辑门电路。门电路的基本逻辑关系为“与”、“或”、“非”三种。通过这三种关系,可以实现多种多样的功能。而对于传统的机械手表来说,它的功能单一。所以可以通过数字电子技术是它的功能更加丰富,更符合人们生活的需要。例如,除了传统机械手表的功能;显示时间之外,还可以增加显示日期,秒表计时,定时闹钟等功能。 【关键词】高电平低电平输入端输出端 1 数字电子技术 在2016年夏天,我去表哥家玩,在他的书桌上放着一本有关数字电子技术的书,出于好奇心,于是我就翻看了几页,然后我就喜欢上了数字电子技术这门课。以下是我对数字电子技术的认识。核心内容就是把一系列连续的信息数字化,或者说是不连续化。在电子技术中,信号可以根据是否连续分为两大类:一类信号是连续的模拟信号,这类信号的特征是,无论从时间上还是从信号的大小上都是连续变化的,用于传递、加工和处理模拟信号的技术叫做模拟技术,处理模拟信号的电路称为模拟电路。常用的有整流电路、放大电路等,而且研究的是输入和输出信号间的大小及相位关系;另一类信号是不连续的数字信号,数字信号的特征是,无论从时间上或是大小上都是离散的,或者说都是不连续的,传递、加工和处理数码信号的叫做数字技术。处理数字信号的电路称为数字电路,它注重研究的是输入、输出信号之间的逻辑关系而非大小和相位的关系。“门”电路是数字电路中最基本的逻辑元件。所谓“门”就是一种开关,它能按照特点的的条件去控制电路信号的通过或不通过。门电路的输入和输出之间存在一定的逻辑关系(因果关系),所以“门”电路又称为逻辑门电路。基本逻辑关系为“与”、“或”、“非”三种。数字技术有以下特点: (1)在数字技术中采用二进制,因此凡元件具有的两个稳定状态都可用来表示二进制,(例如“高电平”和“低电平”),所以其基本单元电路简单,电路中各元件对精度要求不严格,允许基本参数有较大的偏差,只要能区分两种截然不同的状态即可。这一特点,降低了数字电路对元件的要求,降低了数字电路的成本,对实现数字电路集成化是十分有利的。 (2)抗干扰能力强、精度高。采用二进制的数字技术传递加工和处理的是二值信息,不易受外界的干扰,抗干扰能力强。另外它可用增加二进制数的数位提高精度。 (3)数字信号便于长期存贮,使大量可贵的信息资源得以保存。

数字电子技术模拟试题15答案

泰山学院物理与电子工程学院 《数字电子技术》试卷15参考答案与评分标准 一、选择题(每小题 2 分,共 20 分) 二、填空题(每空1分,共 20 分) 1、262.54 B2.B 2、二进制 八进制 十六进制 3、与 或 非 4、)(D C B A '+' 5、2 1 0 6、1 0 0 7、数值比较器 8、1 0 9、6 3 三、化简题(每题 5 分,共 10分) 1、1=+'+'+'+=B A C B A F ……………………………………………(5分) 2、AC AD B A Y ++''= …………………………………………(5分)

四、分析题(第1题5分,后3题每题10分,共35分) 1、 ………………(5分) 2、 …………………………(5分) …………………………(5分) 3、 K=1………………………………………………………(2分) ………………………………………………………………(2分) …………………………………(2分) ……………………………………………(2分) ……………………………………(2分) 4、 AC BC AB BA C BA AC B D A A D A A D A A D A A F ++=+'+'=+'+'+''= 3 0120110100122Q J '=1Q D =)(1211 *1↓''='+'=CLK Q Q Q K Q J Q )(1* 2↑=CLK Q Q

五、设计题(第1题7分,第2题8分,共15分) 1、A 、B 、C 代表三个裁判,通过用1表示,不通过用0表示…………(1分) 1表示成绩有效,0表示成绩无效…………………………………………(1分) 逻辑式F=A+BC ……………………………………………………………(2分) 真值表 ………………………………………………………………(2分) 逻辑图: ………………………………(1分) 2、状态转换图 ……………………………(3分) 电路图 A B C F 1 1 1 1 1 1 0 1 1 0 1 1 0 1 1 1 1 0 0 1 0 1 0 0 0 0 1 0 0 0 0 0

数字电路期末复习考试题及答案

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

相关文档
最新文档