微电子工艺复习整理教材

微电子工艺复习整理教材
微电子工艺复习整理教材

第一章微电子工艺基础绪论

1、描述分立器件和集成电路的区别

①分立器件:是由二极管、三极管等独立的元器件组成的,一般只能完成单一功能,

体积庞大。

②集成电路:把由若干个晶体管、电阻、电容等器件组成的、实现某种特定功能的电子线路,

集中制造在一块小小的半导体芯片上,大体上可以分为三类,半导体集成电路,混合集成电路及薄膜集成电路。半导体集成电路又可以分为双极型集成电路和金属-氧化物-半导体集成电路。优点:

A:降低互连的寄生效应;

B:可充分利用半导体晶片的空间和面积;

C:大幅度降低制造成本。

2、列举出几种pn结的形成方法并说出平面工艺的特点

①合金结方法A 接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融

B 冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个

再分布结晶区,这样就得到了一个pn结。

缺点:不能准确控制pn结的位置。

②生长结方法

半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。

缺点:不适宜大批量生产。

③扩散结优点:扩散结结深能够精确控制。

④二氧化硅薄膜的优点

A:作为掩蔽膜,有效的掩蔽大多数杂质的扩散B:提高半导体几何图形的控制精度

C:钝化半导体器件表面,提高了器件的稳定性。

⑤平面工艺:利用二氧化硅掩蔽膜,通过光刻出窗口控制几何图形进行选择性扩散形成pn结

3、制造半导体器件的四个阶段

①.材料准备②晶体生长与晶圆准备③.芯片制造④.封装

4、解释集成度的概念并根据集成度将集成电路分类

5、微电子工艺的特点

①高技术含量:设备先进、技术先进

②高精度:光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而

精度更在上述尺度之上。

③超纯:指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。

④超净:环境、操作者、工艺三个方面的超净,VLSI在100级超净室、10级超净台中制作

⑤大批量、低成本:图形转移技术使之得以实现

⑥高温:多数关键工艺是在高温下实现,如:热氧化、扩散、退火

6、说明工艺及产品趋势

①特征图形尺寸的减小(通常用微米表示)特征尺寸和集成度是集成电路发展的两个共同标志。

②芯片和晶圆尺寸的增大

③缺陷密度的减小100um―1um不是问题1um―1um 致命缺陷

④内部连线水平的提高高元件密度减小了连线的空间。

解决方案:在元件形成的表面上使用多层绝缘层和导电层相互叠加的多层连线。

⑤芯片成本的降低⑥纳电子技术

第二章半导体材料和晶圆制备

(1)列出三类晶体缺陷并说明其形成的原因

①点缺陷:晶体杂志原子挤压晶体结构引起的压力所致

②位错:晶体生长条件、晶体内的晶格应力、制造过程中的物理损坏

③原生缺陷:滑移(晶体平面产生的晶体滑移)和挛晶(同一界面生长出两种不同方向的晶体),

二者是晶体报废的主要原因。

(2)说出掺杂半导体的两种特性

①通过掺杂浓度精确控制电阻率

②通过掺杂元素的选择控制导电类型(电子N型或空穴P型导电)

掺杂半导体和金属导电的区别:金属:①电阻率固定,改变电阻只有改变其形状。

②只能通过电子的移动来导电,金属永远是N型的。

(3)列出三种主要的半导体材料、比较其优缺点

①锗缺点A:熔点低(937℃)B:缺少自然形成的氧化物

②硅优点A:熔点高(1415℃)B:二氧化硅膜很好的解决了漏电问题

③硅作为电子材料的优势:

A:原料充分,石英沙是硅在自然界存在的主要形式;B:机械强度高;

C:比重小,密度只有2.33g/cm3;D:pn结表面易于生长SiO2,对结起到保护作用;

E:制备的单晶缺陷小;F:能够制造大尺寸基片,硅片直径已达16英寸;G:导热性好

④砷化镓A:载流子迁移率高,适合于做超过吉赫兹的高速IC。例如:飞机控制和超高速计算

机。B:对辐射所造成的漏电具有抵抗性,即GaAs是天然辐射硬化的。

C:GaAs是半绝缘的。使临近器件的漏电最小化,允许更高的封装密度。

⑤砷化镓不会取代硅的原因:A: 大多数产品不必太快B:没有保护膜C:砷对人体有害

(4)解释N型和P型半导体材料在组成&电性能方面的不同

(5)画出两种重要的晶圆晶向示意图,说明如何根据Wafer的主副切面确定其导电类型和晶向并指出这种Wafer适合于何种器件或电路的制作

(6)常见晶体生长的方法有哪些,说明直拉法的工作过程,对比直拉法和区熔法的优缺点

①直拉法—Czochralski法(CZ法)

准备腐蚀清洗多晶→籽晶准备→装炉→真空操作

开炉升温→水冷→通气

生长引晶(将籽晶与熔体很好的接触)→缩晶(在籽晶与生长的单晶棒之间缩颈,晶体最细部分直径只有2-3mm,获得完好单晶)→放肩(将晶体直径放大至需要的尺寸)→等径生长(拉杆与坩埚反向匀速转动拉制出等径单晶。直径大小由拉升速度、转速,以及温度控制。)→收尾(结束单晶生长)

停炉降温→停气→停止抽真空→开炉

优点:可以生长大晶体;成本低缺点:坩埚中的氧进入晶体,有些器件高水平氧不能接受

②液体掩盖直拉法(LEC法)用来生长砷化镓晶体。③掺杂

④区熔法优点:无坩埚晶体生长方法,纯度更高缺点:制备大晶体困难

(7)画出晶圆制备的完整工艺流程图(对应芯片制造前两个阶段)

材料准备A:矿石到高纯气体的转变(石英砂冶炼制粗硅) B:气体到多晶的转变

晶体生长和晶圆制备

C:多晶到单晶,掺杂晶棒的转变(拉单晶、晶体生长)D:晶棒到晶圆的制备

有坩埚的:直拉法、磁控直拉法液体掩盖直拉法;无坩埚的:悬浮区熔法。

(8)会求解直拉法生长单晶的掺杂浓度

平衡分凝系数:k0 有效分凝系:ke

平衡分凝系数: k0 =Cs/C l Cs和C l固体和液体界面附近的平衡掺杂浓度

绝大多数平衡分凝系数都小于1。说明随着晶体的生长,熔融液中的掺杂浓度会越来越高

补充:硅片制备工艺流程(从晶棒到空白硅片):晶体准备(直径滚磨、晶体定向、导电类型检查和电阻率检查)→切片→研磨→化学机械抛光(CMP)→背处理→双面抛光→边缘倒角→抛光→检验→氧化或外延工艺→打包封装

第三章污染控制、芯片制造基本工艺概述

(1)指明进行VLSI和ULSI生产所需的洁净室等级

(2)去离子水的规格,如何得到工艺用水

①反渗透(RO)和离子交换系统去除离子(盐分、矿物)

②固态杂质(颗粒)通过沙石过滤器、泥土过滤器与次微米级薄膜从水中去除。

③细菌和真菌可由消毒器去除。这种消毒器使用紫外线杀菌,并通过水流中的过滤器滤除。

④有机污染物(植物与排泄物)可通过碳类过滤器去除。

⑤溶解的氧气与二氧化碳可用碳酸去除剂和真空消除毒剂去除。

(3)说明RCA清洗硅片的方法,SC-1和SC-2的配方特点

SC-1去除有机残余物,金属

SC-2去除碱金属离子,氢氧根。

根据不同的应用,SC-1和SC-2前后顺序也可颠倒。如果晶片表面不允许有氧化物存在,则需加入氢氟酸清洗这一步。它可以放在SC-1和SC-2之前进行,或者在两者之间,或者在RCA清洗之后。

(4)列出硅片表面的4种污染物及其相应的清洗措施

①颗粒A:氮气枪(最简单的方式)B:晶片刷洗器C:高压水清洗(去除静电作用附着的颗粒)

②有机残余物:溶剂浸泡池中被去除,例如丙酮或乙醇

缺点A: 将晶片表面的溶剂完全烘干非常困难,所以如果可能,会尽量避免用溶剂清洗晶片。

B:溶剂经常会有杂质,从而使其本身成为了污染源。

③无机残余物

④氧化层去除:HF酸

去除必要性:A:硅片很容易氧化

B:很薄的二氧化硅薄膜足以阻止晶片表面在其它的工艺过程中发生正常的反应。

C:可成为绝缘体,从而阻挡晶片表面与导电的金属层之间良好的电性接触。

常见的清洗溶液是:(热硫酸+氧化剂)(通常的光刻胶去除剂)

(5)列出三种使用去离子水冲洗硅片的方法,说明超声波清洗的作用和机理

①溢流式清洗器②喷洒式冲洗③排放式冲洗

(6)列出最基本的4种工艺方法

①增层②光刻:光刻是通过一系列生产步骤将晶圆表面薄膜的特定部分除去的工艺。光刻是所有

四个基本工艺中最关键的正胶法:开孔负胶法:留岛

③掺杂:掺杂是将特定量的杂质通过薄膜开口引入晶圆表层的工艺制程

方法:热扩散(thermal diffusion)和离子注入(implantation)

目的:掺杂工艺的目的是在晶圆表层内建立兜形区

④热处理:热处理是简单地将晶圆加热和冷却来达到特定结果的制程。

特点:在热处理的过程中,在晶圆上没有增加或减去任何物质,另外会有一些污染物汽从晶圆上蒸发。

作用:a. 在离子注入制程后会有一步重要的热处理。

b.金属导线在晶圆上制成后会有一步热处理

c.通过加热在晶圆表面的光刻胶将溶剂蒸发掉,从而得到精确的图形。

目的:晶圆生产过程的成绩单。晶圆电测(wafer sort)也就是芯片测试(die sort)。

方法:在测试时,晶圆被固定在真空吸力的卡盘上,并与很薄的探针电测器对准,同

时探针与芯片的每一个焊接垫相接触

(7)增层工艺主要包括哪些方式

实现方式分:生长法(氧化工艺、氮化硅工艺)和淀积法(CVD、蒸发工艺、溅射工艺)

第四章外延工艺

(1)什么叫做外延?外延有哪些特点?

①定义:在单晶衬底上新生一层单晶膜的技术。

以气相外延为例,则是含外延层材料的物质以气相形式流向衬底,在高温下发生化学反应,在单晶衬底上生长出与衬底取向一致的单晶。

记作:P/Q(P为外延层)

②特点:A:生成的晶体结构良好

B:掺入的杂质浓度易控制

C:可形成接近突变pn结的特点

(2)外延的分类?

①按工艺分类:A 气相外延(VPE)利用硅的气态化合物或者液态化合物的蒸汽,在加热

的硅衬底表面和氢发生反应或自身发生分解还原出硅。

B 液相外延(LPE)

衬底在液相中,液相中析出的物质并以单晶形式淀积在衬底表面的过程。

此法广泛应用于III-V族化合半导体的生长。原因是化合物在高温下易分

解,液相外延可以在较低的温度下完成。

C 固相外延(SPE)

固体物质通过物理淀积形成的外延层的技术

D 分子束外延(MBE)在超高真空条件下,利用薄膜组分元素受热蒸发所

形成的原子或分子束,以很高的速度直接射到衬底表面,并在其上形成

外延层的技术。特点:生长时衬底温度低,外延膜的组分、掺杂浓度以

及分布可以实现原子级的精确控制。

②按导电类型分类:n型外延:n/n, n/p外延

p型外延:p/n, p/p外延

③按反应室形式:卧式:产量大,设备结构简单;但是生成的外延层的厚度和电阻率的均匀

性较差,外延生长时易出现滑移位错及片子弯曲。

立式:维护容易,外延层的厚度和电阻率的均匀性及自掺杂效应能得到较

好的控制;但设备大型化,制造难度大。

桶式:较好的防止外延滑移位错,外延层的厚度和电阻率的均匀性好;但

设备结构复杂,不易维护。

④按材料异同分类:同质外延(autoepitaxy):外延层和衬底为同种材料,例如硅上外延硅。

异质外延(heteroepitaxy):外延层和衬底为不同种材料。例如SOI((绝

缘体上硅)是一种特殊的硅片,其结构的主要特点是在有源层和衬底层之

间插入绝缘层———埋氧层来隔断有源层和衬底之间的电气连接)

⑤按电阻率高低分类:正外延:低阻衬底上外延高阻层n/n+

反外延:高阻衬底上外延低阻层

⑥按温度(1000度界)⑦按压力(常压、低压)

(3)硅气相外延的过程?

在气相外延生长过程中,有两步:质量输运过程--反应剂输运到衬底表面

表面反应过程--在衬底表面发生化学反应释放出硅原子

(4)硅气相外延的原理?

通常用的外延反应剂:SiCl4 (*)、SiH2Cl2、SiH4 、SiHCl3

SiCl4外延反应剂:SiCl4 +2H2 <----> Si + 4HCl(1200度左右)(生长,腐蚀)

H2的作用:A: 运载稀有气体B:还原剂

SiCl4 +Si <----> 2SiCl2 (腐蚀硅)

上述两个反应的综合结果外延生长的同时伴随有衬底的腐蚀。

(5)外延有何应用?

①双极型电路A:n/n+外延B:n/p外延

②MOS电路外延膜的主要应用是作为双极型晶体管的集电极。

(6)外延的漂移规律

①{111}面上严重,偏离2~4度,漂移显著减小,常用偏离3度.

②外延层越厚,偏移越大

③温度越高,偏移越小

④生长速率越小,偏移越小

⑤SiCl4 SiH2Cl2 SiH4硅生长---腐蚀速率的各向异型是发生漂移的根本原因.

(7)外延的工艺多样化

①具有相反导电类型的外延层,在器件工艺中可形成结和隔离区;

②薄层外延供器件发展等平面隔离和高速电路;

③选择外延可取代等平面隔离工艺来发展平面隔离;

④绝缘衬底上的多层外延工艺可以发展三维空间电路

(8)外延的软误差

①定义:从封装材料中辐射出的α粒子进入衬底产生大量(约106量级)电子-空穴对,在低掺

杂MOS衬底中,电子-空穴对可以扩散50μm,易受电场作用进入有源区,引起器件误动作,这就是软误差。②方法:采用低阻衬底上外延高阻层的外延片,则电子-空穴对先进入衬底低阻层,其扩散长度仅1μm,易被复合,它使软误差率减少到原来的1/10。

第五章氧化工艺

(1)硅器件中二氧化硅膜的作用?

①表面钝化:A 保护器件的表面及内部——二氧化硅密度非常高,非常硬,保护器件免于沾

污、损伤和化学腐蚀。

B 禁锢污染物——落在晶圆上的污染物(主要是移动的离子污染物)在二氧

化硅的生长过程中被禁锢在二氧化硅膜中,在那里对器件的伤害最小。

②掺杂阻挡层(作为杂质扩散的掩蔽膜)A杂质在二氧化硅中的运行速度低于在硅中的运

行速度B二氧化硅的热膨胀系数与硅接近

③绝缘介质:SiO2介电性质良好A :IC器件的隔离和多层布线的电隔离 B :MOSFET的栅电

极C:MOS电容的绝缘介质

(2)二氧化硅膜的获得方法有哪些?

A:热氧化工艺(本课程重点)B:化学气相淀积工艺C:溅射工艺D:阳极氧化工艺

(3)描述热氧化的基本机理和两个阶段。

①机理:Si (固态)+ O2 (气态) ? SiO2 (固态)(>1000 ℃)

热氧化是在Si/SiO2界面进行,通过扩散与化学反应实现。O2或H2O 在生成的二氧

化硅内扩散,到达Si/SiO2界面后再与Si 反应。

结果:硅被消耗而变薄,氧化层增厚。

②两个阶段: A:线性阶段 简记为:t ox =B/At

B :抛物线阶段(生长逐渐变慢,直至不可忍受)

(4)影响氧化速率的因素?

①氧化方式(干氧、湿氧或水汽氧化、等离子增强氧化)气氛:掺氯气氛增加氧化速率。 ②气压:氧化速率与氧化剂分压成正比。③氧化温度的影响,氧化速率随温度升高而增大④重掺杂比轻掺杂快⑤硅表面晶向的影响:硅原子密度大的晶面上氧化速率大,R(111)>R(110)>R(100)。

(5)常见的热氧化生长二氧化硅的方法有哪些?

①干氧氧化 气源:干燥氧气,不能有水分 适用:较薄的氧化层的生长,例如MOS 器件

的栅极 原理:氧化剂扩散到SiO 2/Si 界面与硅反应。

随着氧化层的增厚,氧气扩散时间延长,生长速率减慢。

②水汽氧化 气源:气泡发生器或氢氧合成气源

A:气泡发生器 缺点: a :水温易波动 b :气泡发生器可能成为污染源

B:氢氧合成氧化 优点a :容易得到干净和干燥的气体 b :气体流量精确可控

因此②是LSI 和VLSI 中比较理想的氧化技术

缺点:易爆炸性(解决办法:氧气过量)

原理:Si + 2H 2O →SiO 2 + 2H 2 (>1000度) ③湿氧氧化

④掺氯氧化 气态气源: Cl 2 HCl

液态气源: 三氯乙烯C2HCl3(TCE ) 氯仿CHCl3(TCA ) 都为剧毒物;

半导体工业常用HCl ,液态也用氯仿

A 诱因:薄的MOS 栅极氧化要求非常洁净的膜层,如果在氧化中加入氯,器件的性能和洁净度都会得到改善。

B 作用:a :减弱二氧化硅中的移动离子(主要是钠离子)的沾污影响

b :减少硅表面及氧化层的结构缺陷

(6)比较干氧化、湿氧化和水汽氧化的特点。

干氧氧化和水汽氧化的比较

湿氧氧化各项性能介于干氧氧化和水汽氧化之间,其掩蔽能力和钝化效果都能满足一般器件的要求

(7)为什么要采用掺氯氧化,作用如何?

若在氧化中加Cl 器件性能与洁净度都会改善 作用:A 减弱二氧化硅移动离子(主要钠离子)玷污影响 B 减少硅表面及氧化层结构缺陷

第6章化学气相淀积工艺(CVD)

(1)淀积技术包括哪两种?

①根据压强分:常压(AP)和低压(LP)

②根据反应室壁冷热分:热壁和冷壁

CVD系统的两种能量供给源:热辐射和等离子体

(2)用表格的方式描述二氧化硅、多晶硅、氮化硅的性

质、用途、淀积方法(包括方程式、APCVD、LPCVD

或PECVD等)、淀积温度。

(3)LPCVD和APCVD的主要区别?LPCVD有何优势?

LPCVD相对APCVD的特点:①增加了真空系统,气压在1-10-2Torr之间

②低压下分子自由程长,可以竖放基片③加热系统一般是电阻热壁式

(4)PECVD的机理?PECVD有何优势?

机理:等离子体由中性原子团、游离基、分子、离子、少量高能电子组成。

优势:可以较低温度下淀积薄膜,常是低温与低压结合

(5)多晶硅淀积和外延淀积的主要区别。

硅烷的使用

第7章金属淀积工艺

(1)金属薄膜的用途?金属化的作用?

用途①在微电子器件与电路中金属薄膜最重要的用途是作为内电极(MOS栅极和电容器极板)和各元件之间的电连接。

②在某些存储电路中作为熔断丝。

③用于晶圆的背面(通常是金),提高芯片和封装材料的黏合力。

作用

(2)列表比较常见金属的特性。

(3)说明为什么铝作为通常使用的金属薄膜,

说明铜作为新一代金属薄膜的原因。

①铜膜用途:新一代的金属化材料,超大规模集成电路的内连线

缺点:与硅的接触电阻高,不能直接使用;铜在硅中是快扩散杂质,能使硅中毒,铜进入硅内改变器件性能;与硅、二氧化硅粘附性差。

优点:电阻率低(只有铝的40-45%),导电性较好;抗电迁移性好于铝两个数量级;工艺:溅射

②铝膜用途: 大多数微电子器件或集成电路是采用铝膜做金属化材料

缺点:抗电迁移性差;耐腐蚀性、稳定性差;台阶覆盖性较差。

优点:导电性较好;与p-Si,n+-Si(>5*1019 )能形成良好的欧姆接触;光刻性好;

与二氧化硅黏合性好;易键合。

工艺:蒸发,溅射

(4)VLSI对金属化的要求是什么?

①对n+硅和p+硅或多晶硅形成低阻欧姆接触,即金属/硅接触电阻小

②能提供低电阻的互连引线,从而提高电路速度③抗电迁移性能要好

④与绝缘体(如二氧化硅)有良好的附着性⑤耐腐蚀

⑥易于淀积和刻蚀⑦易键合,且键合点能经受长期工作

⑧层与层之间绝缘要好,不互相渗透和扩散,即要求有一个扩散阻挡层

(5)Al-Si接触的常见问题及解决办法?

常见问题:

①铝硅共溶:铝在硅中溶解度非常小,但硅在铝中溶解度比较高。退火过程就会共溶

②铝硅共熔:两种物质相互接触并进行加热的话,它们的熔点将比各自的熔点低得多。

③尖楔现象:由共溶现象引起的

解决办法:

(6)说明难熔金属在金属连线中的作用?

虽然电迁移问题和共熔合金问题已经通过采用铝合金和隔离层的方法得到了解决,然而接触电阻的问题仍然是一大障碍。难熔金属及其硅化物有较低的电阻率和接触电阻,常见的有Ti、W、Ta和Mo 。难熔金属硅化物常见的有TiSi2、WSi2、TaSi2和MoSi2

(7)金属化的实现方法有几种?请论述真空溅射方法。

①物理淀积(*)

A:真空蒸发淀积(较早,金属铝线)1)蒸发过程

被蒸物质从凝聚相转化为气相。

2)输运过程

气相物质在真空系统中的输运。

3)生长过程

气相分子在衬底上淀积和生长。

必要性:化学因素和高质量淀积层的需要

蒸发设备:蒸发源加热器真空泵装片装置检测装置

B:真空溅射淀积(Al-Si合金或Al-Si-Cu合金)

定义:溅射淀积是用核能离子轰击靶材,使靶材原子从靶表面逸出,淀积在衬底材料上的过程。优点:1)成分不变,适合于合金膜和绝缘膜的淀积;

2)改善台阶覆盖性,平面源相对于点源;

3)溅射形成的薄膜对表面的黏附性有一定提高;

4)容易控制薄膜特性。

②LPCVD(难熔金属)

(8)说明金属CVD的优势和主要用途。

LPCVD可以应用于制作金属薄膜

优势:①不需要昂贵的高真空泵;②台阶覆盖性好;③生产效率较高。

用途:①难控制金属;②难熔金属。③主要是钨

第8章光刻工艺

(1)什么叫做光刻,光刻有何目的?

定义:光刻是图形复印与腐蚀作用相结合,在晶片表面薄膜上制备图形的精密表面工艺技术。

目的:在介质薄膜(二氧化硅、氮化硅、多晶硅等)、金属薄膜或金属合金薄膜上面刻蚀出与掩膜版完全对应的几何图形,从而实现选择性扩散和金属薄膜布线的目的。

(2)光刻技术的图形转移分为哪两个阶段?

①图形转移到光刻胶层②图形从光刻胶层转移到晶圆层

(3)列出光刻工艺的十个步骤,并简述每一步的目的。

①表面准备:清洁和干燥晶圆表面②涂光刻胶:在晶圆表面均匀涂抹一薄层光刻胶③软烘培:加热,部分蒸发光刻胶溶剂④对准和曝光:掩膜版和图形在晶圆上精确对准和光刻胶的曝光,负胶是聚合物⑤显影:非聚合光刻胶的去除⑥硬烘培:对溶剂的继续蒸发⑦显影目捡:检查表面的对准情况和缺陷情况⑧刻蚀:将晶圆顶层通过光刻胶的开口去除⑨光刻胶的去除:将晶圆上的光刻胶层去除⑩最终目检:表面检查以发现刻蚀的不规则和其他问题

(4)光刻胶的分类,谈谈正胶和负胶的区别。

光刻时接受图像的介质称为光刻胶,以光刻胶构成的图形作为掩膜对薄膜进行腐蚀,图形就转移到晶片表面的薄膜上了,所以也将光刻胶称为抗蚀剂。

分类:①根据曝光源和用途A 光学光刻胶(主要是紫外线)B 电子抗蚀剂C X-射线抗蚀剂

②根据胶的极性A正胶:胶的曝光区在显影中除去。正胶曝光时发生光分解反应变

成可溶的。使用这种光刻胶时,能够得到与掩膜版遮光图案相同的图形,故称之为正胶。

B负胶:胶的曝光区在显影中保留,用的较多。具体说来负胶在曝光前对某些有机溶

剂(丙酮、丁酮、环己酮)是可溶的,而曝光后发生光聚合反应变成不可溶的。使用这

种光刻胶时,能够得到与掩膜版遮光图案相反的图形,故称之为负胶。

比较:正胶负胶

不易氧化易氧化而使光刻胶膜变薄

成本高成本低图形边缘整齐、陡直,无溶胀现象易吸收显影液而溶涨

分辨率更高

去胶较容易

抗蚀性强于正胶

(5)掩膜版的对准法则,说明对准误差有哪些?

①把所需图形在晶圆表面上定位或对准

②通过曝光灯或其他辐射源将图形转移到光刻胶涂层上

对准原则:①第一个掩膜版的对准是把掩膜版上的y轴与晶圆上的平边成90度放置;

②后续的掩膜版都用对准标记与上一层带有图形的掩膜对准。

对准误差:①X或Y方向的平移;②转动

(6)描述并比较接触式光刻、接近式、投影式、步进式

和电子束光刻。

①接触式:接触式光刻机用于分立器件,低集成度和中度集成度的电路。

缺点:接触会损坏掩膜版和较软的光刻胶层,掩膜版寿命低。

②接近式:接近式光刻是接触式光刻机的自然演变。有时也称软接触式。

③投影式:投影式曝光和接触式曝光相比有以下优点:

a 避免了掩膜版与硅片表面的摩擦,延长了掩膜版的寿命;

b掩膜版的尺寸可以比实际尺寸大得多,克服了小图形制版的困难;

c 消除了掩膜版图形线宽过小而产生的光衍射效应

缺点:光刻设备有许多镜头需要特制,设备复杂。

④步进式:使用带有一个芯片或几个芯片图形的掩膜版逐一对准、曝光,然后移动到下一个

曝光场,重复这样的过程。

优点:a 由于每次曝光区域变小分辨率得以提高。

b这种掩膜版比全局掩膜版质量高,因此产生缺陷的数量就更小。

生产关键:自动对准系统的实现。掩膜版:1:1掩膜版或5~10倍的掩膜版,5倍最佳。

⑤电子束曝光:电子束与光一样,它的能量也可以使光刻胶发生化学反应,使之感光。

分类:A:光栅扫描式B:矢量扫描式

(7)刻蚀的方法分类,刻蚀常见有哪些问题?

定义与目的:把显影后的光刻胶微图形下层材料的裸露部分去掉,将光刻胶图形转移到下层材料上去的工艺叫作刻蚀。

要求:①保真②最好是各向异性腐蚀,侧向腐蚀小③选择比高④均匀性好⑤清洁

常见:①不完全刻蚀②过刻蚀和底切③各向同性刻蚀

分类:湿法刻蚀类①沉浸②喷射

干法刻蚀类①等离子体(桶形、平面)②离子轰击③反应离子刻蚀(RIE)

(8)湿法刻蚀的特点;说明二氧化硅和铝膜的湿法刻蚀方法。

定义:湿法刻蚀是化学腐蚀,在腐蚀液中通过化学反应去除窗口薄膜,得到薄膜图形。

特点:A:湿法腐蚀的产物必须是气体或可溶于腐蚀液的物质。

B:一般说来,反应总伴随着放热和放气。

优点:A:工艺简单,无需复杂设备,选择比高;B:均匀性好

缺点:A:各向同性腐蚀;B:分辨率低,自动化难

(9)描述等离子体刻蚀和干法去胶的原理

①等离子体刻蚀原理:真空度在1-10-2托,二氧化硅、氮化硅、多晶硅的腐蚀气体为氟化物

(如CF4),高频电场将CF4和氧气的混合气激发成等离子体状态,在等离子体中的活性物F·、CF·等,与薄膜发生化学反应,生成物(挥发性成分)被真空泵排除。

②干法去胶原理:在去胶机中通入O2,O2被等离子化,其中的活性物质O与光刻胶发生化

学反应,胶是有机物,被氧化生成H2O、CO、CO2等气体,被真空泵排除。

第9章掺杂技术

(1)说明用于硅中扩散常见的固态、液态、气态源。

①固态源:最原始的淀积源。通常是氧化物B2O3、Sb2O5、P2O5等陶瓷片或粉体,也有用BN。

使用固态源的三种方式:A 远程源(匙)B近邻源(圆片)C 涂抹源

②液态源:液态源通常是所需掺杂元素的氯化物或溴化物。例如:POCl3、BBr3

③气态源:气态源通常是氢化物:B2H6、PH3、AsH3、BCl3,最受欢迎的扩散源方式。(2)扩散的方式有哪三种?

①填隙式扩散

②替位式扩散

③填隙-替位式扩散

固相扩散:扩散是一种自然现象,由物质自身的热运动引起。微电子工艺中的扩散是杂质在晶体内的扩散,因此是一种固相扩散。

(3)影响扩散速率的因素有哪些?

①晶体内杂质浓度梯度;②环境温度;③杂质本身结构、性质;④晶体衬底的结构。

(4)杂质在硅中的扩散过程分为哪两步,它们分别属于恒定源扩散还是限定源扩散,请用图形来说明这两个扩散过程。

(5)为什么要引入离子注入技术,离子注入技术有哪些优势?

原因:高集成度电路的发展需要更小的特征图形与更近的电路器件间距。热扩散对电路的生产已有所限制,于是离子注入法诞生

优势:①离子注入克服热扩散的几个问题:

A 横向扩散,没有侧向扩散

B 浅结

C 粗略的掺杂控制

D 表面污染的阻碍

②离子注入引入的额外的优势:(P228)

A 在接近常温下进行

B 使宽范围浓度的掺杂成为可能

缺点:①设备昂贵②设备在高压和更多有毒气体的使用上出现新的危险

③超浅结不易控制

(6)离子注入的原理?

离子注入是离子被强电场加速后注入靶中,离子受靶原子阻止,停留其中,经退火后杂质进入替位、电离成为具有电活性的杂质。这一过程是一非平衡的物理过程(扩散为化学过程)。(7)说明退火的目的和特点?

①目的:A修复晶格损伤 B 注入杂质电激活:注入的杂质多以填隙式方式存在于硅中,无电活性。退火,在某一高温下保持一段时间,使杂质通过扩散进入替位位置,有电活性。

②特点:A效果与温度,时间有关,温度越高、时间越长退火效果越好。

B 退火使得杂质再分布。

第10章封装技术

(1)封装有哪些功能?

①紧固的引脚系统将脆弱的芯片表面器件连线与外部世界连接起来。

②物理性保护(防止芯片破碎或受外界损伤)

③环境性保护(免受化学品、潮气等的影响)

④散热(封装体的各种材料本身可带走一部分热量)

(2)描述封装工艺的流程,并说明每一步的目的?

①底部准备:底部准备通常包括磨薄和镀金。

②划片:用划片法或锯片法将晶片分离成单个芯片

③取片和承载:在挑选机上选出良品芯片,放于承载托盘中。

④粘片:用金硅低熔点技术或银浆粘贴材料粘贴在封装体的芯片安装区域。

⑤打线:A:芯片上的打线点与封装体引脚的内部端点之间用很细的线连接起来(线压焊);

B:在芯片的打线点上安装半球型的金属突起物(反面球形压焊);C:TAB压焊技术;

⑥封装前检查:A:有无污染物;B:芯片粘贴质量;C:金属连接点的好坏;

⑦电镀、切筋成型和印字

A电镀:为增强封装体的外部引脚在电路板上的可焊性,电镀上铅锡合金。

B切筋成型:在接近封装工序的结尾,需要将引脚与引脚之间的连筋切除。

⑧最终测试:包括电性测试及环境适应的可靠性测试。

(3)封装前的准备过程包括哪些?目的如何?

①晶圆打磨原因:

A:芯片越来越厚,薄片易划片

B:厚芯片要求较深的粘片凹腔

C:掺杂工艺中,如果晶圆背部没有被保护起来,掺杂体形成电子结合点,可打磨掉

②背面镀金:背面镀金增加粘附性。

(4)描述两种实现芯片-封装连线的技术。

(5)列出几种常见封装的名称。

①金属罐法:用于封装分立器件和小规模集成电路。

②双列直插封装

③针形栅格阵列封装:DIP适合于做管脚数量比较少的封装。

④球形栅格阵列封装

⑤薄型封装:

⑥四面引脚封装:

⑦多芯片模块(MCM)封装:将多个芯片封装在同一个封装体中。

⑧板上芯片(COB):是裸芯片技术的一个应用。

保护芯片的方法:顶部滴胶(环氧树脂)

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

微电子工艺习题总结(DOC)

1. What is a wafer? What is a substrate? What is a die? 什么是硅片,什么是衬底,什么是芯片 答:硅片是指由单晶硅切成的薄片;芯片也称为管芯(单数和复数芯片或集成电路);硅圆片通常称为衬底。 2. List the three major trends associated with improvement in microchip fabrication technology, and give a short description of each trend. 列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势 答:提高芯片性能:器件做得越小,在芯片上放置得越紧密,芯片的速度就会提高。 提高芯片可靠性:芯片可靠性致力于趋于芯片寿命的功能的能力。为提高器件的可靠性,不间断地分析制造工艺。 降低芯片成本:半导体微芯片的价格一直持续下降。 3. What is the chip critical dimension (CD)? Why is this dimension important? 什么是芯片的关键尺寸,这种尺寸为何重要 答:芯片的关键尺寸(CD)是指硅片上的最小特征尺寸; 因为我们将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易产生。 4. Describe scaling and its importance in chip design. 描述按比例缩小以及在芯片设计中的重要性 答:按比例缩小:芯片上的器件尺寸相应缩小是按比例进行的 重要性:为了优电学性能,多有尺寸必须同时减小或按比例缩小。 5. What is Moore's law and what does it predict? 什么是摩尔定律,它预测了什么 答:摩尔定律:当价格不变时,集成电路上可容纳的晶体管数,月每隔18个月便会增加1倍,性能也将提升1倍。 预言在一块芯片上的晶体管数大约每隔一年翻一番。 第二章 6. What is the advantage of gallium arsenide over silicon? 砷化镓相对于硅的优点是什么 答:优点:具有比硅更高的电子迁移率;减小寄生电容和信号损耗的特性;集成电路的速度比硅电路更快;材料的电阻率更大。 7. What is the primary disadvantage of gallium arsenide over silicon? 砷化镓相对于硅的主要缺点是什么 答:主要缺点:缺乏天然氧化物;材料的脆性;成本比硅高10倍;有剧毒性在设备,工艺和废物清除设施中特别控制。

微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓 度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散, 因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 A. 诱生电荷 B. 鸟嘴效应 C. 陷阱电荷 D. 可移动电荷 4、浸入式光刻技术可以使193 nm 光刻工艺的最小线宽减小到45 nm 以下。它通过采用折射率高的 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

集成电路工艺mooc测试题

第一周作业返回 1单选(1分) 在硅片晶向、掺杂类型介绍中,由硅片断裂边形成的角度是60o可知硅片是什么晶向? A.(100)B.(111)C.(110)D.(211) 正确答案:B 解析:B、硅的解理面是(111),在(111)面上两[111]晶向相交呈60 o 2多选(1分) 关于拉单晶时进行的缩颈步骤,下面的说法那种正确 A.可以多次缩颈 B.为了能拉出与籽晶相同的硅锭 C.为了终止籽晶中的线缺陷向晶锭的延伸 D.为了终止与籽晶结合处的缺陷向晶锭的延伸 正确答案:A、C、D 解析:A、目的是彻底终止线缺陷等向晶锭的延伸 3判断(1分) 在空间微重力室用CZ法也能拉制出大尺寸优质晶锭 正确答案:对 解析:因硅熔体温度梯度带来的密度(重力)差造成的干锅内熔体强对流减弱的缘故 4单选(1分) 磷在硅熔体与晶体中的分凝系数约为0.35,这使得液相掺杂拉制的掺磷硅锭的电阻率: A.轴向均匀 B.轴向递减 C.轴向递増 D.径向递减 正确答案:B 解析:B、因为掺入硅锭的杂质是轴向递增的。 5填空(1分) 拉单晶的干锅污染主要是由于坩埚材料分解出的造成。 正确答案:O 或氧 第二周作业返回 1填空(1分) 外延工艺就是在晶体衬底上,用物理的或化学的方法生长薄膜。 正确答案:晶体或单晶 2判断(1分) 如果外延速率偏低,只要增大外延气体中硅源(如SiCl4)浓度,硅的气相外延速率就会增加。 正确答案:错 解析:只在一定范围成立,如SiCl4为硅源超过临界值会生成多晶、甚至腐蚀衬底。 3填空(1分) VPE制备n-/n+ -Si用硅烷为源,硅烷是在完成的分解。 可从下面选择:气相硅片表面正确答案:硅片表面

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

微电子电路课程设计

课程设计报告 微电子电路 带有源负载的共源极放大器与带有源负载的cascode 放大器 集成电路设计 目录 1.课程设计目的···································页码3 2.课程设计题目描述和要求·························页码3 3.设计思路·······································页码4 4.带有源负载的共源极放大器设计过程及结果·········页码5 5.带有源负载的cascode放大器设计过程及结果·······页码7 6.心得体会·······································页码9 7.参考书目·······································页码9

2 1.课程设计目的 深刻理解课本上学到的知识,建立各个章节的知识体系之间的联系。 加强动手能力和运用课本知识理论解决问题的能力。 对于放大器的性能和参数有更深刻的理解和掌握。 2.课程设计题目描述和要求 分析如图这样的带有源负载的共源极放大器与带有源负载的cascode 放大器的开环增益,3dB 频宽,单位增益频率。其中负载电容为3PF ,电源电压为5V ,要求CS 放大器的开环增益大于30dB ,cascode 放大器的开环增益大于60 dB 。对仿真结果进行分析,功耗小于2mW 。 Vdd C

3 Vdd C 3.设计思路:根据题目要求来计算以cs 放大器为例 ⑴功率不超过2mW ,电源为 5v ,得到总电流不能超过400uA 。 ⑵开始分配给ID 的电流为50u 运用了镜像电流源,电流大小之比为2,在长度一定时候的宽度之比也是2,故在右边电路的id 为100u ⑶根据公式 对于n 管来说,预估一个过驱动电压0.4v (大约0.2-0.5v )均可。计算出来n 管宽长比为11.26,取11。因为实验中给定了n 管的阈值电压为0.723v ,所以,可以确定栅源电压为1.1v 左右。 对于p 管来说,预估一个过驱动电压为0.5v (大约0.2-0.5v )均可。经过计算,p 管的宽长比为11.59,取12 。

集成电路工艺认识实习报告

集成电路工艺认识实习报告 1.专题一MEMS(微机电系统)工艺认识 1.1 重庆大学微系统研究中心概况 重庆微光机电工程技术研究中心依托于重庆大学,主要合作单位有中国电子科技集团公司第二十四研究所等。中心主要从事MEMS设计、研发及加工关键技 术研究、产业化转化和人才培养。 中心建立了面向西南地区的“MEMS器件及系统设计开发联合开放实验室,拥有国际先进的MEMS和CMOS电路设计及模拟软件,MEMS传感器及微型分析仪 器的组装和测试设备。 1.2主要研究成果 真空微电子压力传感器、集成真空微电子触觉传感器、射频微机械无源元件、硅微低电压生化分析系统、折衍混合集成微小型光谱分析仪器、全集成硅微二维加速度传感器、集成硅微机械光压力传感器、硅微加速度阵列传感器、硅微力平衡电容式加速度传感器、反射式混合集成微型光谱分析系统、微型振动式发电机系统、真空微电子加速度传感器 1.3微系统中心主要设备简介 1.3.1. 反应离子刻蚀机 1.3.2双面光刻机 1.3.3. 键合机 1.3.4. 探针台

1.3.5. 等离子去胶机 1.3.6. 旋转冲洗甩干机 1.3.7. 氧化/扩散炉 1.3.8. 低压化学气相淀积系统 1.3.9. 台阶仪 1.3.10. 光学三维形貌测试仪 1.3.11. 膜厚测试仪 1.3.1 2. 感应耦合等离子体(ICP)刻蚀机

1.3.13. 箱式真空镀膜机 1.3.14. 槽式兆声清洗机 1.3.15.射频等离子体系统 1.4MEMS的主要特点 体积小,重量轻,材料省,能耗低;完整的MEMS一般是由微动力源、微致动器、微传感器组成,智能化程度高,集成度高;MEMS整体惯性小,固有频率高,响应快,易于信号实时处理;由于采用光刻、LIGA等新工艺,易于批量生产,成本低;MEMS可以达到人手难于达到的小空间和人类不能进入的高温,放射等恶劣环境,靠MEMS的自律能力和对微机械群的遥控,可以完成宏观机械难于完成的任务。 1.5MEMS器件的应用 1.5.1 工业自动控制领域 应用MEMS器件对“温度、压力、流量”三大参数的检测与控制,目前普遍采用有微压力、微流量和微测温器件 1.5.2生物医学领域 微型血压计、神经系统检测、细胞组织探针和生物医学检测,并证实MEMS器件具有再生某些神经细胞组织的功能。

微电子工艺复习重点

20XX级《微电子工艺》复习提纲 一、衬底制备 1.硅单晶的制备方法。 直拉法悬浮区熔法 1.硅外延多晶与单晶生长条件。 任意特定淀积温度下,存在最大淀积率,超过最大淀积率生成多晶薄膜,低于最大淀积率,生成单晶外延层。 三、薄膜制备1-氧化 1.干法氧化,湿法氧化和水汽氧化三种方式的优缺点。 干法氧化:干燥纯净氧气 湿法氧化:既有纯净水蒸汽有又纯净氧气 水汽氧化:纯净水蒸汽 速度均匀重复性结构掩蔽性 干氧慢好致密好 湿氧快较好中基本满足 水汽最快差疏松差 2.理解氧化厚度的表达式和曲线图。 二氧化硅生长的快慢由氧化剂在二氧化硅中的扩散速度以及与硅反应速度中较慢的一个因素决定;当氧化时间很长时,抛物线规律,当氧化时间很短时,线性规律。 3.温度、气体分压、晶向、掺杂情况对氧化速率的影响。 温度:指数关系,温度越高,氧化速率越快。 气体分压:线性关系,氧化剂分压升高,氧化速率加快 晶向:(111)面键密度大于(100)面,氧化速率高;高温忽略。 掺杂:掺杂浓度高的氧化速率快; 4.理解采用干法热氧化和掺氯措施提高栅氧层质量这个工艺。 掺氯改善二氧化硅特性,提高氧化质量。干法氧化中掺氯使氧化速率可提高1%-5%。 四、薄膜制备2-化学气相淀积CVD 1.三种常用的化学气相淀积方式,在台阶覆盖能力,呈膜质量等各方面的优缺点。 常压化学气相淀积APCVD:操作简单淀积速率快,台阶覆盖性和均匀性差 低压化学气相淀积LPCVD:台阶覆盖性和均匀性好,对反应式结构要求不高,速率相对 低,工作温度相对高,有气缺现象 PECVD:温度低,速率高,覆盖性和均匀性好,主要方式。 2.本征SiO2,磷硅玻璃PSG,硼磷硅玻璃BPSG的特性和在集成电路中的应用。 USG:台阶覆盖好,黏附性好,击穿电压高,均匀致密;介质层,掩模(扩散和注入),钝化层,绝缘层。 PSG:台阶覆盖更好,吸湿性强,吸收碱性离子 BPSG:吸湿性强,吸收碱性离子,金属互联层还有用(具体再查书)。 3.热生长SiO2和CVD淀积SiO2膜的区别。 热生长:氧来自气态,硅来自衬底,质量好

半导体器件与工艺课程设计

课程设计 课程名称微电子器件工艺课程设计 题目名称 PNP双极型晶体管的设计 学生学院___ 材料与能源学院___ _ 专业班级 08微电子学1班 学号 3108008033 学生姓名____ 张又文 __ _ 指导教师魏爱香、何玉定 ___ 2011 年 7 月 6 日

广东工业大学课程设计任务书 题目名称 pnp 双极型晶体管的设计 学生学院 材料与能源学院 专业班级 微电子学专业08级1班 姓 名 张又文 学 号 3108008033 一、课程设计的内容 设计一个均匀掺杂的pnp 型双极晶体管,使T=300K 时,β=120。V CEO =15V,V CBO =80V.晶体管工作于小注入条件下,最大集电极电流为I C =5mA 。设计时应尽量减小基区宽度调制效应的影响。 二、课程设计的要求与数据 1.了解晶体管设计的一般步骤和设计原则 2.根据设计指标设计材料参数,包括发射区、基区和集电区掺杂浓度N E , N B ,和N C , 根据各区的掺杂浓度确定少子的扩散系数,迁移率,扩散长度和寿命等。 3.根据主要参数的设计指标确定器件的纵向结构参数,包括集电区厚度W c ,基本宽度W b ,发射区宽度W e 和扩散结深X jc , 发射结结深X je 等。 4.根据扩散结深X jc , 发射结结深X je 等确定基区和发射区预扩散和再扩散的扩散温度和扩散时间;由扩散时间确定氧化层的氧化温度、氧化厚度和氧化时间。 5.根据设计指标确定器件的图形结构,设计器件的图形尺寸,绘制出基区、发射区和金属接触孔的光刻版图。 6. 根据现有工艺条件,制定详细的工艺实施方案。 7.撰写设计报告 三、课程设计应完成的工作 1. 材料参数设计

晶体加工工艺总结

晶体加工工艺总结(德清华瑞光学) 晶体加工 1、方解石:光轴面抛光后不能用白胶布保护,必需用黑胶布。光轴面B=Ⅲ,用玻璃盘细磨,细磨光圈半个左右。抛光:用绸布(真丝布)绑在抛光好的平玻璃板上,一定要平,然后用704粘合剂均匀地涂在绸布上,未干时放在平玻璃板上轻轻磨一下,然后等完全干透。 2、白宝石、红宝石:要求B=Ⅳ,θ=1′,N=1,ΔN=1/2。一般用钢盘加研磨膏抛光,钢盘一定要改好。如果B要求较高,可用特殊胶盘。细磨一定要好。 3、磁光(旋光)晶体:YIG、GGG。细磨一定用碳化硼280#,20#,抛光先用宝石粉W2.5抛亮后,再用刚玉微粉W1.5抛,用水晶作垫子。 4、BBO,微潮,磨砂用302#、302.5#。在铁盘或玻璃盘上磨。抛光用CeO2可抛好。晶体易开裂,加工时及加工前后均应注意保持恒温。并要求选取无包裹的纯单晶加工,有方向要求。BBO晶体较软,易划伤,抛光面不可与任合物擦拭。BBO晶体易潮解,抛光后置于红外灯下烘干,然后置于密封干燥的容器中保存。 5、氟化钙(CaF2)B=Ⅲ,可用CeO2抛好。用302#、303#磨砂,用宝石粉抛亮后,改用钻石粉水溶液抛光圈和道子。用宝石粉W1抛光很快,然后用W0.5 抛光圈和道子。用聚胺树脂作抛光模范,也可用宝石粉抛亮后用氧化铬抛光,胶盘用软胶盘,工件最好抛高光圈,但不必高太多。 6、LBO材料硬度与K9相似,点胶上盘,如封蜡可用电烙铁直接封,研磨、抛光同K9玻璃相似,用CeO2抛光。 7、氟锂锶锂:软晶体、易坏,B=Ⅱ,上盘用红外灯慢慢加热。在清洗时不可多擦表面,否则易出道子。用氟化锂做保护片,W1.5刚玉粉抛亮后改用W0.5钻石微粉水溶液抛光。用CeO2抛光也可抛好。(500目) 8、KTP晶体:硬度和ZF相差不多,用ZF做保护片,进行抛光。KD*P、KT*P,用软胶盘(一般用特殊配制的胶盘),也可用1#(天较冷)2#(天较热)号胶盘,抛光后用洗砂倒边。KD*P易潮解、易碎,抛光时温度、湿度要求较高。 9、双45°LN电光Q开关:双45°LN电光Q开关是一种利用LN晶体作材料加工成的斜方棱镜,有六个加工面,其中四个面抛光,另两个面只须定向和研磨。在四个抛光面中,入射面、出射面为晶体Y晶面。入射面、出射面的夹角为45°±1′,电极面为X晶面,须镀金。加工时首先要确定Y基准面,X、Y晶面的衍射角为θ(110)=17°24′和θ(300)=31°12′。上盘用石膏模固定,配盘材料用LN或与LN相似的K9玻璃。加工时入射面、出射面主要控制几何尺寸和平行度,技术要求:N=1/4、B=Ⅲ,θ≤10〞。加工第一个45°反射面主要控制角度和塔差,第二个45°反射面除控制零件的长度外,还要控制光线经过四个抛光面反射后所反映出来的综合平行度。由于光线在晶体内部经过四次反射,因此测量综合平行度只是分划板读数的1/4n(n为LN折射率)通常要求θ≤10〞。LN电光Q开关的两个45°反射面的粗糙程度的好坏与晶体抗激光损伤能力密切相关。LN属于铁电晶体,当抛光级剂选用不当时会出现抛不亮或返毛现象,可通过选高熔点的抛光剂或在溶液中加入HCL或肥皂粉,如果仍不行须重新磨砂。 10、Mg2SiO4 (镁橄榄石)晶体,莫氏硬度为7,抛光较难。 1、用聚胺树脂硬胶盘加W3.5、W2.5宝石研磨膏抛光,大约要5~6小时,一天左右可抛亮。 2、抛亮厚用W0.5钻石微粉水溶液改光圈。低光圈较难改。 11、SeZn晶体,软晶体。磨砂用302#、302.5#在玻璃盘上,抛光用软胶盘,先用W1.

《微电子制造原理和技术》课程设计大纲-09

微电子制造原理与技术》课程设计大纲 一、课程名称:《微电子制造原理与技术》课程设计 开课专业:电子科学与技术 学分/总学时:2学分,2周 实验(上机)学时:40 先修课程:半导体工艺原理与技术,数字电路,专业实验,集成电路设计 制定人:李金华 制定时间:2009.12.28 二、课程的目的和任务 课程设计是学完一门课后应用本课程知识及以前的知识积累而进行的综合性、开放性、设计性的实践训练,是培养学生工程意识和创新能力的重要环节,是检验学生灵活和牢固掌握知识的重要手段。基于上述认识,决定开设《半导体工艺原理与技术》的课程设计实践环节。所以开设本课程的目的是,通过对本课程的实践,更加牢固和全面地掌握信息功能薄膜材料的制备方法和在微电子器件,特别是在集成电路工艺中的应用。通过本课程的训练,可以将《半导体工艺原理与技术》与《集成电路设 计》、《专业实验》更好地结合起来,使学生掌握更加全面的专业技能。由于电子科学与技术专业的学生学过了《集成电路设计》,希望学生能在这二周时间内得到一定的IC 设计与工艺锻炼,为以后的求职创造条件。 三、课程内容和基本要求 本课程设计的主要内容是将《半导体工艺原理与技术》的课程内容与《集成电路设计》、《专业实验》、《薄膜材料与薄膜技术》课程结合,结合LEDIT 软件的应用,作简单CMOS器件的版图和工艺设计。 本课程设计选择了35个简单实用的CMOS器件与典型工艺,要求学生通过对本课程和已学课程的复习,也可上网检索和阅读参考资料,从器件原理、逻辑图,用当前世界通用的集成电路设计软件LEDIT 设计版图。结合已学过的知识设计该器件的版图与工艺。对基础比较好的学生,可以对已经列出的35 个简单器件或工艺标准作合理提升,相应的课题将利用难度系数来提高成绩。

微电子器件原理总结

三种管子的工作原理、符号、结构、电流电压方程、电导、跨导、频率 然后还有集边效应,二次击穿 双极型晶体管: 发射极电流集边效应: (1)定义:由于p-n 结电流与结电压的指数关系,发射结偏压越高,发射极边缘处的电流较中间部位的电流越大 (2)原因:基区体电阻的存在引起横向压降所造成的 (3)影响:增大了发射结边缘处的电流密度,使之更容易产生大注入效应或有效基区扩展效应,同时使发射结面积不能充分利用 (4)限制:限制发射区宽度,定义发射极中心到边缘处的横向压降为kT /q 时所对应的发射极条宽为发射极有效宽度,记为2S eff 。S eff 称为有效半宽度。 发射极有效长度 : (1)定义:沿极条长度方向,电极端部至根部之间压降为kT/q 时所对应的发射极长度称为发射极有效长度 (2)作用:类似于基极电阻自偏压效应,但沿Z 方向,作用在结的发射区侧 二次击穿和安全工作区: (1)现象:当晶体管集电结反偏增加到一定值时,发生雪崩击穿,电流急剧上升。当集电结反偏继续升高,电流I c 增大到某—值后,cb 结上压降突然降低而I c 却继续上升,即出现负阻效应。 (2)分类: 基极正偏二次击穿(I b >0)、零偏二次击穿和(I b =0)、反偏二次击穿(I b <0)。 (3)过程:①在击穿或转折电压下产生电流不稳定性; ②从高电压区转至低电压区,即结上电压崩落,该击穿点的电阻急剧下降; ③低压大电流范围:此时半导体处于高温下,击穿点附近的半导体是本征型的; ④电流继续增大,击穿点熔化,造成永久性损坏。 (4)指标:在二次击穿触发时间t d 时间内,消耗在晶体管中的能量 ?=d t SB IVdt E 0 称为二次击穿触发能量(二次击 穿耐量)。晶体管的E SB (二次击穿触发功率P SB )越大,其抗二次击穿能力越强。 (5)改善措施: 1、电流集中二次击穿 ①由于晶体管内部出现电流局部集中,形成“过热点”,导致该处发生局部热击穿。

半导体集成电路工艺复习

第一次作业: 1,集成时代以什么来划分?列出每个时代的时间段及大致的集成规模。答: 类别时间 数字集成电路 模拟集成电路MOS IC 双极IC SSI 1960s前期 MSI 1960s~1970s 100~500 30~100 LSI 1970s 500~2000 100~300 VLSI 1970s后期~1980s后期>2000 >300 ULSI 1980s后期~1990s后期 GSI 1990s后期~20世纪初 SoC 20世纪以后 2,什么是芯片的集成度?它最主要受什么因素的影响? 答:集成度:单个芯片上集成的元件(管子)数。受芯片的关键尺寸的影响。 3,说明硅片与芯片的主要区别。 答:硅片是指由单晶生长,滚圆,切片及抛光等工序制成的硅圆薄片,是制造芯片的原料,用来提供加工芯片的基础材料;芯片是指在衬底上经多个工艺步骤加工出来的,最终具有永久可是图形并具有一定功能的单个集成电路硅片。 4,列出集成电路制造的五个主要步骤,并简要描述每一个步骤的主要功能。 答:晶圆(硅片)制备(Wafer Preparation); 硅(芯)片制造(Wafer Fabrication):在硅片上生产出永久刻蚀在硅片上的一整套集成电路。硅片测试/拣选(Die T est/Sort):单个芯片的探测和电学测试,选择出可用的芯片。 装配与封装(Assembly and Packaging):提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 成品测试与分析(或终测)(Final T est):对封装后的芯片进行测试,以确定是否满足电学和特性参数要求。 5,说明封装的主要作用。对封装的主要要求是什么。 答:封装的作用:提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 主要要求:电气要求:引线应当具有低的电阻、电容和电感。机械特性和热特性:散热率应当越高越好;机械特性是指机械可靠性和长期可靠性。低成本:成本是必须要考虑的比较重要的因素之一。 6,什么是芯片的关键尺寸?这种尺寸为何重要?自半导体制造业开始以来,芯片的关键尺寸是如何变化的?他对芯片上其他特征尺寸的影响是什么? 答:芯片上器件的物理尺寸被称为特征尺寸;芯片上的最小的特征尺寸被称为关键尺寸,且被作为定义制造工艺水平的标准。 为何重要:他代表了工艺上能加工的最小尺寸,决定了芯片上的其他特征尺寸,从而决定了芯片的面积和芯片的集成度,并对芯片的性能有决定性的影响,故被定义为制造工艺水平的标准。

课程设计微电子器件与工艺课程设计报告

课程设计微电子器件与工艺课程设计报告

目录 1.设计任务及目标 (1) 2.课程设计的基本内容 (1) 2.1 pnp双极型晶体管的设计 (1) 2.2 设计的主要内容 (1) 3.晶体管工艺参数设计 (2) 3.1 晶体管的纵向结构参数设计 (2) 3.1.1 集电区杂质浓度的确定 (2) 3.1.2 基区及发射区杂质浓度 (3) 3.1.3 各区少子迁移率及扩散系数的确定 (3) 3.1.4 各区少子扩散长度的计算 (4) 3.1.5 集电区厚度的选择 (4) 3.1.6 基区宽度的计算 (4) 3.1.7 扩散结深 (6) 3.1.8 表面杂质浓度 (7) 3.2晶体管的横向设计 (8) 3.3工艺参数的计算 (8) 3.3.1 基区磷预扩时间 (8) 3.3.2基区磷再扩散时间计算 (8) 3.3.3 发射区硼预扩时间计算 (9) 3.3.4 发射区硼再扩散时间计算 (9) 3.3.5 基区磷扩散需要的氧化层厚度 (10) 3.3.6 发射区硼扩散需要的氧化层厚度 (11) 3.3.7 氧化时间的计算 (11) 3.3.8设计参数总结 (12) 4晶体管制造工艺流程 (13) 4.1硅片及清洗 (15) 4.2氧化工艺 (16)

4.3光刻工艺 (17) 4.3.1光刻原理 (17) 4.3.2具体工艺流程 (18) 4.3.3硼的扩散 (19) 4.3.4磷的扩散 (20) 5 版图 (20) 6总结 (23) 7参考文献 (23)

微电子器件与工艺课程设计报告 ——pnp 双极型晶体管的设计 1、课程设计目的与任务 《微电子器件与工艺课程设计》是继《微电子器件物理》、《微电子器件工艺》和《半导体物理》理论课之后开出的有关微电子器件和工艺知识的综合应用的课程,使我们系统的掌握半导体器件,集成电路,半导体材料及工艺的有关知识的必不可少的重要环节。 目的是使我们在熟悉晶体管基本理论和制造工艺的基础上,掌握晶体管的设计方法。要求我们根据给定的晶体管电学参数的设计指标,完成晶体管的纵向结构参数设计→晶体管的图形结构设计→材料参数的选取和设计→制定实施工艺方案 晶体管各参数的检测方法等设计过程的训练,为从事微电子器件设计、集成电路设计打下必要的基础。 2、课程设计的基本内容 2.1 pnp 双极型晶体管的设计 设计一个均匀掺杂的pnp 型双极晶体管,使T=300K 时,β=120。V CEO =15V,V CBO =80V.晶体管工作于小注入条件下,最大集电极电流为I C =5mA 。设计时应尽量减小基区宽度调制效应的影响。 2.2 设计的主要内容: (1)了解晶体管设计的一般步骤和设计原则。 (2)根据设计指标选取材料,确定材料参数,如发射区掺杂浓度N E ,,基区掺 杂浓度N B ,集电区掺杂浓度N C ,根据各区的掺杂浓度确定少子的扩散系数,迁移率,扩散长度和寿命等。 (3)根据主要参数的设计指标确定器件的纵向结构参数,如集电区厚度W c , 基区宽度W b ,发射极宽度W e 和扩散结深X jc ,发射结结深等。 (4)根据结深确定氧化层的厚度,氧化温度和氧化时间;杂质预扩散和再扩散 的扩散温度和扩散时间。 (5)根据设计指标确定器件的图形结构,设计器件的图形尺寸,绘制出基区、 发射区和金属接触孔的光刻版图。

微电子加工工艺总结资料

1、分立器件和集成电路的区别 分立元件:每个芯片只含有一个器件;集成电路:每个芯片含有多个元件。 2、平面工艺的特点 平面工艺是由Hoerni于1960年提出的。在这项技术中,整个半导体表面先形成一层氧化层,再借助平板印刷技术,通过刻蚀去除部分氧化层,从而形成一个窗口。 P-N结形成的方法: ①合金结方法 A、接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融。 B、冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个再分布结晶区,这样就得到了一个 pn结。 合金结的缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 生长结的缺点:不适宜大批量生产。 扩散结的形成方式 与合金结相似点: 表面表露在高浓度相反类型的杂质源之中 与合金结区别点: 不发生相变,杂质靠固态扩散进入半导体晶体内部 扩散结的优点 扩散结结深能够精确控制。 平面工艺制作二极管的基本流程: 衬底制备——氧化——一次光刻(刻扩散窗口)——硼预沉积——硼再沉积——二次光刻(刻引线孔)——蒸铝——三次光刻(反刻铝电极)——P-N结特性测试 3、微电子工艺的特点 高技术含量设备先进、技术先进。 高精度光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而精度更在上述尺度之上。 超纯指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 超净环境、操作者、工艺三个方面的超净,如 VLSI在100级超净室10级超净台中制作。 大批量、低成本图形转移技术使之得以实现。 高温多数关键工艺是在高温下实现,如:热氧化、扩散、退火。

微电子工艺技术 复习要点答案(完整版)

第四章晶圆制造 1.CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 答:1、溶硅2、引晶3、收颈4、放肩5、等径生长6、收晶。CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长)。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。 CZ法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。 FZ法优点:①可重复生长,提纯单晶,单晶纯度较CZ法高。②无需坩埚、石墨托,污染少③高纯度、高电阻率、低氧、低碳④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 答:111和100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 答:在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 答:1、对晶圆A清洗并生成一定厚度的SO2层。2、注入一定的H形成富含H的薄膜。3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H脱离A和B键合。4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 7、名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 答:CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺 1. 列举IC芯片制造过程中热氧化SiO2的用途?

微电子工艺课程设计

微电子工艺课程设计 一、摘要 仿真(simulation)这一术语已不仅广泛出现在各种科技书书刊上,甚至已频繁出现于各种新闻媒体上。不同的书刊和字典对仿真这一术语的定义性简释大同小异,以下3种最有代表性,仿真是一个系统或过程的功能用另一系统或过程的功能的仿真表示;用能适用于计算机的数学模型表示实际物理过程或系统;不同实验对问题的检验。仿真(也即模拟)的可信度和精度很大程度上基于建模(modeling)的可信度和精度。建模和仿真(modeling and simulation)是研究自然科学、工程科学、人文科学和社会科学的重要方法,是开发产品、制定决策的重要手段。据不完全统计,目前,有关建模和仿真方面的研究论文已占各类国际、国内专业学术会议总数的10%以上,占了很可观的份额。 集成电路仿真通过集成电路仿真器(simulator)执行。集成电路仿真器由计算机主机及输入、输出等外围设备(硬件)和有关仿真程序(软件)组成。按仿真内容不同,集成电路仿真一般可分为:系统功能仿真、逻辑仿真、电路仿真、器件仿真及工艺仿真等不同层次(level)的仿真。其中工艺和器件的仿真,国际上也常称作“集成电路工艺和器件的计算机辅助设计”(Technology CAD of IC),简称“IC TCAD”。

二、 综述 这次课程设计要求是:设计一个均匀掺杂的pnp 型双极晶体管,使T=346K 时,β=173。V CEO =18V ,V CBO =90V ,晶体管工作于小注入条件下,最大集电极电流为IC=15mA 。设计时应尽量减小基区宽度调制效应的影响。要求我们先进行相关的计算,为工艺过程中的量进行计算。然后通过Silvaco-TCAD 进行模拟。 TCAD 就是Technology Computer Aided Design ,指半导体工艺模拟以及器件模拟工具,世界上商用的TCAD 工具有Silvaco 公司的Athena 和Atlas ,Synopsys 公司的TSupprem 和Medici 以及ISE 公司(已经被Synopsys 公司收购)的Dios 和Dessis 以及Crosslight Software 公司的Csuprem 和APSYS 。这次课程设计运用Silvaco-TCAD 软件进行工艺模拟。通过具体的工艺设计,最后使工艺产出的PNP 双极型晶体管满足所需要的条件。 三、 方案设计与分析 各区掺杂浓度及相关参数的计算 对于击穿电压较高的器件,在接近雪崩击穿时,集电结空间电荷区已扩展至均匀掺杂的外延层。因此,当集电结上的偏置电压接近击穿电压V 时, 集电结可用突变 结近似,对于Si 器件击穿电压为 4 3 13 106- ?=)(BC B N V , 集电区杂质浓度为: 3 4 13 34 13)1106106CEO n CBO C BV BV N β+?=?=()( 由于BV CBO =90所以Nc=*1015 cm -3 一般的晶体管各区的浓度要满足NE>>NB>NC 设N B =10N C ;N E =100N B 则: Nc=*1015 cm -3 ;N B =*1016 cm -3 ;N E =*1018 cm -3 根据室温下载流子迁移率与掺杂浓度的函数关系,得到少子迁移率: s V cm ?==/13002n C μμ;s V cm P B ?==/3302μμ;s V cm N E ?==/1502μμ 根据公式可得少子的扩散系数:

集成电路工艺课程设计报告

深圳职业技术学院 Shenzhen Polytechnic 《集成电路工艺基础》 课程设计报告 课题: D触发器工艺设计 学院:电子与通信工程学院 班级: 11微电子1班 组员: 学号: 指导老师: 2013年6月 24日

目录 绪论 (1) 第一章 N阱硅栅CMOS电路 (2) 1.1 N阱硅栅CMOS电路 (2) 第二章 D触发器原理图设计 (3) 2.1 D触发器原理图设计 (3) 2.1.1逻辑电路图 (3) 2.2.2工作原理 (3) 第三章 D触发器版图设计 (5) 3.1 版图设计规则 (5) 3.2 D触发器版图设计 (6) 第四章工艺流程 (7) 4.1 N阱CMOS工艺流程 (7) 第五章制备掩膜版 (13) 5.1 集成电路对掩膜版的要求 (13) 5.2 掩膜版版图 (13) 总结 (18) 参考文献 (18)

绪论 当前,我国集成电路行业正处于发展的黄金时期,集成电路的设计、制造和封装测试都面临极大的发展机遇。以后,集成电路器件的特征尺寸将从目前的深亚微米进入纳米量级,并且有可能将一个子系统乃至整个系统集成在一个芯片上。 今天,版图设计是在一个不断变化的环境中进行的。软件工具和设计方法,计算机平台,工具厂商、客户,正在实现的应用,以及我们所面对的市场压力,所有这一切都在逐年变化着。所有这一切变化已使该行业成为一个另人感兴趣的行业,但不应该忘记的是,在制作优质版图后面的基本概念是基于物理特性和电学特性的,这是永远不会改变的。 通过集成电路版图设计,按照版图设计的图形加工成光刻掩膜,可以将立体的电路系统转变为平面图形,再经过工艺制造还原成为硅片上的立体结构。

相关文档
最新文档