5.4组合逻辑电路(4)奇偶校验码

例:试设计一实现4位传送代码奇偶校验 功能的校验码发生电路。
0111 出错 1000 0000 0110 0100
1. 代码产生出错 2. 代码传输出错
可靠性编码:格雷码 知错:奇偶校验码

传送代码 校验码 信息码
B3 B2 B1 B0
校验码 E 发生电路 校验码 E’ 发生电路
=1

1. 输入:4位传送代码B3B2B1B0 输出:奇校验码 E (以奇校验为例) 2. 列真值表:
B3 B2 B1 B0
0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
E
1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1
E = Σm (0,3,5,6,9,10,12,15)
3. 根据器件进行逻辑 电路设计 a. 用门电路实现 (SSI)

B3B2 B1B0
E
1 1 1 1 1 1 =1 =1 1 =1
1
1
E = B3
B2
B1
B0
= B3 ⊕ B2 ⊕ B1 ⊕ B0
B3 B2
B1 B0
b. 用中规模器件实现 (MSI)

用8选1数据选择器 (74LS151) 实现 选 B3B2B1 A2A1A0 B0 Di
B3B2 B1B0
E
1 1 1 1 B3 B2 B1 B0
1
D0 D2 D6 D4 D1 D3 D7 D5
1 1 1
1
D0= D3= D5= D6= B0 D1= D2= D4= D7= B0

用3/8译码器 (74LS138) 实现 ①用两片3/8译码器实现:扩展法 (略) ②用一片3/8译码器实现: E = Σm (0,3,5,6,9,10,12,15)
B3B2B1= A2A1A0
= B3 B2 B1 B0 + B3 B2 B1 B0 + B3 B2 B1 B0 + B3 B2 B1 B0 + B3 B2 B1 B0 + B3 B2 B1 B0 + B3 B2 B1 B0 + B3 B2 B1 B0 = A2 A1 A0 B0 + A2 A1 A0 B0 + A2 A1 A0 B0 + A2 A1 A0 B0 + A2 A1 A0 B0 + A2 A1 A0 B0 + A2 A1 A0 B0 + A2 A1 A0 B0 = ( A2 A1 A0 + A2 A1 A0 + A2 A1 A0 + A2 A1 A0 ) B0 + ( A2 A1 A0 + A2 A1 A0 + A2 A1 A0 + A2 A1 A0 ) B0
= Y0 ? Y3 ? Y5 ? Y6 B0 + Y1 ? Y2 ? Y4 ? Y7 B0

逻辑图如图:

常用的检错码 - 奇偶校验码

3.2差错控制 3.2.2常用的检错码- 奇偶校验码 奇偶校验码是一种简单的检错码,奇偶校验码分为奇校验码和偶校验码,两者原理相同。它通过增加冗余位来使得码字中“1”的个数保持奇数或偶数。 ?无论是奇校验码还是偶校验码,其监督位只有一位; ?假设信息为为I1, I2, …, I n,对于偶校验码,校验位R可以表示为: R =I 1 ⊕I 2 ⊕Λ⊕I n ?假设信息为为I1, I2, …, I n,对于奇校验码,校验位R可以表示为: R =I 1 ⊕I 2 ⊕Λ⊕I n ⊕1 ?无论是奇校验码还是偶校验码,都只能检测出奇数个错码,而 不能检测偶数个错码。 4 4

讨论: 从检错能力、编码效率和代价等方面来评价垂直奇偶校验、水平奇偶校验和水平垂直奇偶校验 3.2 差错控制 3.2.2 常用的检错码 - 奇偶校验码 奇偶校验在实际使用时又可分为垂直奇偶校验、水平奇偶校验和水平垂直奇偶校验等几种。 5

3.2.2常用的检错码–定比码 所谓定比码,即每个码字中“1”的个数与“0”的个数之比保持恒定, 故又名等比码或恒比码。 ?当码字长一定,每个码字所含“1”的数目都相同,“0”的数目也 都相同。 ?由于若n位码字中“1”的个数恒定为m,还可称为“n中取m”码 定比码(n中取m)的编码效率为: log C m R = ?2 n n 定比码能检测出全部奇数位错以及部分偶数位错。实际上,除了码 字中“1”变成“0”和“0”变成“1”成对出现的差错外,所有其它差 错都能被检测出来 6 4

代码“1011011”对应的多项式为x 6 + x 4 + x 3 +1 多项式“x 5 + x 4 + x 2 + x”所对应的代码为“110110” 3.2.2 常用的检错码 – 循环冗余检验 循环冗余码(Cyclic Redundancy Code ,简称CRC )是无线通信中用得最广泛的检错码,又被称为多项式码。 二进制序列多项式:任何一个由m 个二进制位组成的代码序列都可以和一个只含有0和1两个系数的m-1阶多项式建立一一对应的关系。 CRC 有关的多项式: ? 信息位多项式、冗余位多项式、码字多项式、和生成多项式 信息位1010001:K (x ) = x 6 + x 4 + 1 冗余位1101:R (x ) = x 3 + x 2 + 1; 码字10100011101: T (x ) = x 10 + x 8 + x 4 + x 3 + x 2 + 1 7

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

奇偶校验通信原理课程设计

西南科技大学通信原理设计报告 课程名称:通信原理课程设计 设计名称:奇偶校验编码仿真 姓名:王雷 学号: 班级:通信1004 指导教师:秦明伟 起止日期:2013年7月5日星期五 西南科技大学信息工程学院制

方向设计任务书 学生班级:通信1004 学生姓名:王雷学号:20105615 设计名称:奇偶校验编码仿真 起止日期:2013年7月5日星期五指导教师:秦明伟 方向设计学生日志

奇偶校验编码仿真 一、摘要(150-250字) 奇偶校验是一种校验代码传输正确性的方法。根据被传输的一组二进制代码的数位中“1”的个数是奇数或偶数来进行校验。采用奇数的称为奇校验,反之,称为偶校验。采用何种校验是事先规定好的。通常专门设置一个奇偶校验位,用它使这组代码中“1”的个数为奇数或偶数。若用奇校验,则当接收端收到这组代码时,校验“1”的个数是否为奇数,从而确定传输代码的正确性。 二、设计目的和意义 认识matlab软件,学习掌握matlab的基本操作方法,熟悉M文件和simulink的具体实现方法,了解数据奇偶校验的原理和在matlab中的基本仿真,通过对简单的通信实验设计,提高了动手能力和对matlab操作,巩固了课程知识。 三、设计原理 在数据传输前附加一位奇校验位,用来表示传输的数据中"1"的个数是奇数还是偶数,为奇数时,校验位置为"0",否则置为"1",用以保持数据的奇偶性不变。例如,需要传输"11001110",数据中含5个"1",所以其奇校验位为"0",同时把"110011100"传输给接收方,接收方收到数据后再一次计算奇偶性,"110011100"中仍然含有5个"1",所以接收方计算出的奇校验位还是"0",与发送方一致,表示在此次传输过程中未发生错误。奇偶校验就是接收方用来验证发送方在传输过程中所传数据是否由于某些原因造成破坏。 奇偶校验原理是基于异或的逻辑功能。奇偶校验的编码方法是在原信号码组后面添加以为监督码元,奇偶校验分为奇校验和偶校验,奇校验是原信息码元加上监督码元后,使整个组成的数码组中,1的个数为奇数个。偶校验的工作原理则正好与奇校验相反。 对于n位二进码a1a2a3a4……a n奇校验有如下表示: a1⊕a2⊕a3⊕a4……⊕a n⊕C=1 偶校验的表达式为: a1⊕a2⊕a3⊕a4……⊕a n⊕C =1 其中,C为监督码元,在本设计中n为8,可以推出C的表达式为: C =a1⊕a2⊕a3⊕a4……⊕a8 在发送端让其监督码和信息码一起发送,在信息接收端,计算校验因子的表达式为: 、 S=a1⊕a2⊕a3⊕a4……⊕a n⊕C

组合逻辑电路实验

实验一基本门电路的功能和特性及组合逻辑电路实验(2学时) 实验目的及要求:掌握常用的集成门电路的逻辑功能与特性;掌握各种门电路的逻辑符号;了解集成电路的外引线排列及其使用方法;学习组合逻辑电路的设计及测试方法。 实验题目:部分TTL门电路逻辑功能验证及组合逻辑电路设计之全加器或全减器。 实验二数值比较器、数据选择器(3学时) 实验目的及要求:掌握数值比较器和数据选择器的逻辑功能;学习组合逻辑电路的设计及测试方法。用7486和7400、7404搭出一位数值比较器,画出其设计逻辑电路图,并验证它的运算;用74153选择器实现多数据表决器,要求3个输入中有2个或3个为1时,输出Y为高电平,否则Y为低电平。画出电路图并简述实现原理。用7400、7404、7432实现该多数表决器。 实验题目:组合逻辑电路设计之数值比较器和数据选择器 实验三计数器的应用(3学时) 实验目的及要求:掌握集成二进制同步计数器74161的逻辑功能;掌握任意进制计数器的构成方法;学习时序逻辑电路的设计及测试方法。用74161搭建一个60进制计数器电路,并将结果输出到7段数码管显示出来,画出其设计逻辑电路图并验证它的功能。 实验题目:时序逻辑电路设计之计数器的应用 74LS00: QUAD 2-INPUT NAND GATE

74LS04: HEX INVERTER 74LS32:Quad 2-Input OR Gates

74LS74: Dual Positive-Edge-Triggered D Flip-Flops with Preset, Clear and Complementary Outputs 74LS153: Dual 4-Input Multiplexer with common select inputs and individual enable inputs 74LS161: Synchronous 4-Bit Binary Counters

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

四组合逻辑电路的设计

实验四 组合逻辑电路的设计(二) 一、实验目的 1. 熟悉各种常用MSI 组合逻辑电路的功能与使用方法; 2. 掌握多片MSI 组合逻辑电路的级联、功能扩展; 3. 学会使用MSI 逻辑器件设计组合电路; 4. 进一步培养查找和排除数字电路常见故障的能力。 二、实验器件 1. 74LS151 八选一数据选择器 2. 74LS283 四位二进制全加器 三、实验原理 见实验三。 四、设计举例 例:使用全加器实现四位二进制相减。 原理:减去某个二进制数就是加上该数的补码(即反码加“1”),所以二进制数A 和B 相加,先将B 变为反码,然后与数A 相加,并令C1=1,即可。电路如图4—1示: A 0A 2A 3 A 1 被减数 减数 B 0 B 1B 2B 3 V CC C 4 C 1 C 0∑ ∑1 ∑2∑3 ∑0图 4-1 例:设计一四变量输入组合逻辑电路。当四个输入中有奇数个高电平“1”时 输出高电平“1”,否则输出低电平“0”。 原理:设输入四变量为DCBA ,输出为Y ,其真值表入图4—2(a )所示,输出函数Y 为: Y B C D A B C D 1D 2D 3D 4D 5D 6D 7 D 0??? ? ?? A (b)

用八选一数据选择器实现四变量逻辑函数时,以其中3个变量做地址,另外一个变量做数据。选DCB三变量作为地址,A为数据,画出电路图如图4—2(b):五、实验内容 1.用八选一数据选择器74LS151设计一个8421BCD非法码检测电路,当输入为非法码组时,输出为1,否则为零。 2.用全加器实现2位二进制数相乘。 六、实验报告要求 1.画出各实验步骤的实验电路逻辑图,并分析实验结果。 2.总结MSI器件的功能及使用方法。

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

奇偶校验

奇偶校验 在数据传输前在数据位后附加一位奇偶校验位,用来表示传输的数据中"1"的个数是奇数还是偶数,以此判断数据传输正确性的一种校验方法。 奇偶校验的产生: 为奇数时,校验位置为"0",否则置为"1",用以保持数据的奇偶性不变。例如,需要传输"11001110",数据中含5个"1",所以其奇校验位为"0",同时把"110011100"传输给接收方,接收方收到数据后再一次计算奇偶性,"110011100"中仍然含有5个"1",所以接收方计算出的奇校验位还是"0",与发送方一致,表示在此次传输过程中未发生错误。奇偶校验就是接收方用来验证发送方在传输过程中所传数据是否由于某些原因造成破坏。 具体方法如下: 奇校验: 就是让原有数据序列中(包括你要加上的一位)1的个数为奇数 1000110(0)你必须添0这样原来有3个1已经是奇数了所以你添上0之后1的个数还是奇数个。 偶校验: 就是让原有数据序列中(包括你要加上的一位)1的个数为偶数 1000110(1)你就必须加1了这样原来有3个1要想1的个数为偶数就只能添1了。 按校验的数据量和生成校验码的方式分为三类: 1.垂直奇偶校验码:以一个字符作为校验单位纵向生成校验码位;

例如使用ASCII编码的一个字符由8bit组成,其中低7bit为信息位,最高1bit作为校验位,假设某一字符的标准ASCII编码为0011000,根据奇偶校验规则,如果采用奇校验,则校验位应为1(这样字符中1的个数才能为奇数),即00110001;如果采用偶校验,校验位应为0,即00110000垂直奇偶校验码的特点:校验处理过程简单,但如果字符中发生偶数位的错误就检测不出来,也检测不到错误发生在哪一位。 2.水平奇偶校验码:以多个字符作为校验单位横向生成校验码位; 生成方法:以若干个字符作为一个校验单位。每个字符各自生成一个垂直奇偶校验码,再为每个字符的相同位及其垂直奇偶校验码生成水平奇偶校验码,这些校验码形成一个校验字符,附加在被校验字符的后面一并传输到接收方,该校验字符即称为方阵校验码。 校验特点:一次能校验更多的数据,效率较高,系统实现也比较简单,检测可靠性有所提高,但仍然不能检测出所有的错误。 3.水平垂直冗余校验码(方阵校验码):以多个字符作为校验单位水平垂直两个方向共同生成校验字符。

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

奇偶码

校验码辅导讲座 二进制数据经过传送、存取等环节,会发生误码(1变成0或0变成1),这就有如何发现及纠正误码的问题。所有解决此类问题的方法就是在原始数据(数码位)基础上增加几位校验(冗余)位。 一、码距 一个编码系统中任意两个合法编码(码字)之间不同的二进数位(bit )数叫这两个码字的码距,而整个编码系统中任意两个码字的的最小距离就是该编码系统的码距。 如图1所示的一个编码系统,用三个bit 来表示八个不同信息中。在这个系统中,两个码字之间不同的bit 数从1到3不等,但最小值为1,故这个系统的码距为1。如果任何码字中一位或多位被颠倒了,结果这个码字就不能与其它有效信息区分开。例如,如果传送信息001,而被误收为011,因011仍是表中的合法码字,接收机仍将认为011是正确的信息。 然而,如果用四个二进数字来编8个码字,那么在码字间的最小距离可以增加到2,如图2的表中所示。 图 1 图 2 注意,图8-2的8个码字相互间最少有两bit 的差异。因此,如果任何信息的一个数位被颠倒,就成为一个不用的码字,接收机能检查出来。例如信息是1001,误收为1011,接收机知道发生了一个差错,因为1011不是一个码字(表中没有)。然而,差错不能被纠正。假定只有一个数位是错的,正确码字可以是1001,1111,0011或1010。接收者不能确定原来到底是这4个码字中的那一个。也可看到, 在这个系统中,偶数个(2或4)差错也无法发现。 为了使一个系统能检查和纠正一个差错,码间最小距离必须至少是“3”。最小距离为3时,或能纠正一个错,或能检二个错,但不能同时纠一个错和检二个错。编码信息纠错和检错能力的进一步提高需要进一步增加码字间的最小距离。 图8-3的表概括了最小距离为1至7的码的纠错和检错能力。 图3 码距越大,纠错能力越强,但数据冗余也越大,即编码效率低了。所以,选择码距要取决于特定系统的参数。数字系统的设计者必须考虑信息发生差错的概率和该系统能容许的最小差错率等因素。要有专门的研究来解决这些问题。 二、奇偶校验

实验四 组合逻辑电路

实验四组合逻辑电路 一、实验目的 1.学会组合逻辑电路的实验分析及其设计方法。 2.验证半加器、全加器的逻辑功能。 二、实验原理 按照逻辑电路的不同特点,常把数字电路分成两大类:一类叫组合逻辑电路,一类叫时序逻辑电路。组合逻辑电路在任一时刻的输出状态只决定于该时刻各输入状态的组合,而与电路的原状态无关。通常组合逻辑电路由门电路组合而成。 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计是否合理。分析组合逻辑电路时首先根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式;然后利用公式法或卡诺图法化简逻辑函数表达式;最后列真值表,确定其逻辑功能。 设计组合逻辑电路的任务是根据已知逻辑问题,画出满足任务要求的逻辑电路图。组合逻辑电路的设计,通常以电路简单,器件最少为目标。首先应分析实际问题所要求的逻辑功能,确定输入量和输出量,然后列出符合输入、输出关系的真值表,根据真值表写出逻辑函数的表达式并化简成最简式,按照最简逻辑函数的表达式画出逻辑电路图。 三、实验仪器及设备 1、数字逻辑实验箱1台 2、元器件:74LS20×4(四输入端2与非门),74LS00×1(二输入端4与非门), 74LS08×1,74LS32×1,导线若干 四、实验内容 1.测试图1电路的逻辑功能 按图1接线。按表1要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。

图1 图2 2.测试用异或门、非门和与或非门组成的电路的逻辑功能 按图2接线。按表2要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。 3.根据要求自行设计逻辑电路,要求画出逻辑电路图,列真值表并验证其逻辑功能。 (1)有一个车间,有红、黄两故障指示灯,用来表示三台设备的工作情况。当有一台设备出现故障时,红灯亮;若三台设备都出现故障时,红灯、黄灯都亮。试用与非门设计一个控制灯亮的逻辑电路。 分析提示:设Y 为红灯,G 为黄灯,以1代表灯亮,0代表灯不亮,其逻辑表达式:Y=BC AC AB G=ABC C B A C B A C B A 根据公式得到参考逻辑电路图3。 根据分析提示并结合参考电路图,设计出自已的电路,在实验箱上将电路连接完成。自拟表格记录之。

实验三组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。

第四章 组合逻辑电路

第三章 组合逻辑电路 一. 填空题 1. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=100时,输出 01234567Y Y Y Y Y Y Y Y 应为 11101111 。 2. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=101时,输出 01234567Y Y Y Y Y Y Y Y 应为 1101111 。 3. 数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路 和 时序逻辑电路。 。 4. 16选一数据选择器,其地址输入端有 16 个 5. 8选一数据选择器有___8______条地址控制线。 二.选择题 1. 在下列逻辑电路中,不是组合逻辑电路的是 D A.译码器 B.编码器 C.全加器 D.寄存器 2. 三十二路数据选择器,其地址输入端有 C 个 A .16 B .2 C .5 D . 8 3. 数据选择器是具有 A 通道的器件 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4. 欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是(B ) A. 5 B.6 C. 10 D . 53 5. 已知A 、B 为逻辑门的输入端,F 为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 D 。 A B F 图1 A.与非门 B. 与门 C. 或非门 D . 或门 三.简答和计算题

1. 将逻辑函数F AB AC ABC =++转化为与非-与非表达式,并画出只由 与非门实现的逻辑电路图。 2. 将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3. 用8选1数据选择器74HC151实现函数F AC ABC ABC ABC =+++。 4. 用8选1数据选择器74HC151实现逻辑函数F AC AB ABC =++。 5. 用8选1数据选择器实现函数F=AC+ABC+ABC。

对奇偶校验码的理解

对奇偶校验码的理解 一个二进制数位串C7C6C5C4C3C2C1若将各位进行模2加,其和为1,则此二进制数位串是奇性串;若将各位进行模2加,其和为0,则此二进制数位串是偶性串;此时的奇偶性表示了这个二进制位串自身固有的性质:奇性,说明此二进制数位串共有奇数个1,例如1101101有5个1,呈奇性;偶性,说明此二进制数位串共有偶数个1或者没1例如1101100有4个1,例如0000000没有1,呈偶性。 二进制数位串在传输中由于热噪声和冲击噪声可能产生差错。怎么控制差错呢?最常用的差错控制方法是差错控制编码。数据信息位在向信道发送之前,先按某种关系附加上一定的冗余位,构成一个码字后再发送,这个过程称为差错控制编码过程。接收端收到该码字后,检查信息位和附加的冗余位之间的关系,以检查传输过程中是否有差错发生,这个过程称为检查过程。根据这个原理,发送方采取给二进制位串C7C6C5C4C3C2C1加一位冗余位C0以供校验。C0产生方法有两种如下: C0=C7○+C6○+C5○+C4○+C3○+C2○+C1(第一种方法) C0=C7○+C6○+C5○+C4○+C3○+C2○+C1○+1 (第二种方法) ○+是模2加符号。用第一种方法产生的C0称偶校验码,用第二种方法产生的C0称奇校验码。通过C0的产生过程,可以发现C0与二进制数位串C7C6C5C4C3C2C1的关系: 在第一种方法之下,

当二进制数位串C7C6C5C4C3C2C1呈奇性时,C0亦呈奇性——即C0取1值。这时把C0编入二进制数位串C7C6C5C4C3C2C1后的新二进制数位串C7C6C5C4C3C2C1C0按各位模2加就是C7○+C6○+C5○+ C4○+C3○+C2○+C1○+C0=0 当二进制数位串C7C6C5C4C3C2C1呈偶性时,C0亦呈偶性——即C0取0值。这时把C0编入二进制数位串C7C6C5C4C3C2C1后的新二进制数位串C7C6C5C4C3C2C1C0按各位模2加就是C7○+C6○+C5○+ C4○+C3○+C2○+C1○+C0=0 在第二种方法之下, 当二进制位数串C7C6C5C4C3C2C1呈奇性时,C0反呈偶性——即C0取0值。这时把C0编入二进制位数串C7C6C5C4C3C2C1后的新二进制数位串C7C6C5C4C3C2C1C0按各位模2加就是C7○+C6○+C5○+ C4○+C3○+C2○+C1○+C0=1 当二进制数位串C7C6C5C4C3C2C1呈偶性时,C0反呈奇性——即C0取1值。这时把C0编入二进制数位串C7C6C5C4C3C2C1后的新二进制位串C7C6C5C4C3C2C1C0按各位模2加就是C7○+C6○+C5○+C4○+ C3○+C2○+C1○+C0=1 接收端收到二进制位串C7C6C5C4C3C2C1C0后,检查信息位和附加的冗余位之间的关系,以检查传输过程中是否有差错发生。 按第一种方法检查信息位C7C6C5C4C3C2C1和附加的冗余位C0之间的关系看C7○+C6○+C5○+C4○+C3○+C2○+C1○+C0是否等于0,不等于0说明出了错。这种检测方法叫偶校验。

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

相关文档
最新文档