模拟电子技术复习题.doc

模拟电子技术复习题.doc
模拟电子技术复习题.doc

1、利用半导体的—掺杂—特性,制成杂质半导体;利用半导体的—光敏_ 特性,制成光敏电阻,利用半导体的—热敏—特性,制成热敏电阻。

2、_____________________________ 晶体三极管工作时有_自由电子和—空穴_两种载流子参与导电,

因此三极管又称为一双极型_ 晶体管。

3、隹厶电阻反映了放大电路对信号源或前级电路的影响,输岀电阻反映了放大电路带负载能力。

4、某差分放大电路的两个输入端电压分别为Uii=30mv, Uj2= 1 Omv,则该电路的

差模输入电压为20mV,共模输入电压为20mV。

5、输岀功率为10 W的乙类OCL电路,所选用三极管的额定管耗至少为__ W, OCL 电路的最大管耗发生在Uom约为0.6 Vcc时。

6、直接耦合放大电路中零漂危害最大的一级是输入级。

7、某仪表放大电路要求输入电阻大,输出电流稳定,应选择—电流__、—串联_ 负反馈。

8、运算电路中的集成运放应工作于线性区,为此运算电路中必须引入负_反馈。

9、制作频率为20HZ-20KHZ的音频信号发生电路,应选用RC振荡器,制作频率非常稳定的测试用信号源,应选用石英晶体振荡器。

10、小功率直流稳压电源通常由由电源变压器、整流_、滤波和稳压四部分组成。

11?二极管最主要的特性是_______ 。

12.如图所示复合管,已知V|的0二30, V?的0 = 50,则复合后的僅勺为 1500 。 ~

13?差分放大电路中,若两个输入信号Uii和Ui2相同,则输出电压为u0=Q_V;若Uji=100 u V, Ui2=80 u V 则差模输入电压为Uid=—20 u V。

14.如果变压器二次(即副边)屯压的有效值为10V,桥式整流后(不滤波)的输岀电压为9 V,经过电容滤波后为12 V,二极管所承受的最大反向电

压为14 Vo

15.若三级放大电路中Az、Au2的电压增益为3OdB, 为20 dB,则其总电压增益为80 dB,折合为心倍。

16.乙类功率放大电路中,功放晶体管静态电流Ico= 0 A,静态时的电源

功耗Pne= OWo这类功放的能量转换效率在理想情况下,可达到78. 5% ,但这种功放有交越失真。

17.设计一个负反馈放大电路,若要稳定输出电压,应引入—电压____ 负反馈, 若要稳定输岀电流,应引入—电流—负反馈。

18.通用型集成运放的输入级一般采用差分式放大电路,其主要目的是_

抑制零点漂移_________ 0

19.设某开环放大电路中,在输入信号电压为low时,输出电压为lv;当引入负反馈后达到同样的输出电压时,需加输入信号为10nw。由此可知所加的反馈深度为10反馈系数为__ 9X10:― o

20?射极输出器的主要特点是:电压放大倍数接近于1,输入电阻输出电阻小。21.在常温下,硅二极管的开启电压约为0.3 V,错二极管的开启电压约为O.lVo 1、由理想二极管组成的电路如图所示,其A、B两端的电压为(C )o

A、-12V

B、+6V

C、-6V

D、+12V

2、稳压管的稳压区是其工作在(C )

C、反向击穿

A、正向导通

B、反向截止3、把长

尾式差分放大电路中的发射极公共电阻改为电流源可以(D )

A、增大差模输入电阻

B、提高共模增益

C、提高共模增益

D、提高共模抑制比

4、集成运放中间级采用有源负载和复合管主要是为了(B )

C、提高共模抑制比

D、减小温漂

5、某放大电路在负载开路时的输岀电压为4V,接入1KQ负载电阻后输岀电压降为IV。则该放大电路的输出电阻为(D )。

A、不能确定

B、1KQ

C、0. 25KQ

D、3KQ

6?杂质半导体中少数载流子浓度(C )

A、与掺杂浓度和温度无关

B、只与掺杂浓度有关

C、只与温度有关

D、与掺杂浓度和温度有关

7.场效应管的漏极电流是受以下哪种参数控制的。(B )

A、栅极电流

B、栅源电压

C、漏源电压

D、栅漏电压

8.在某放大电路中,测的三极管三个电极的静态电位分別为0 V, -10 V, -9.3 V, 则这只三极管是(A )o

A、NPN型硅管

B、NPN型错管

C、PNP型硅管

D、PNP型错管

9.晶体三极管用于放大时,应使其发射结、集电结处于(A )

A、发射结正偏、集电结反偏B>发射结正偏、集电结正偏

C、发射结反偏、集电结正偏

D、发射结反编、集电结反偏

10.工作在放大区的某三极管,如果当IB从12〃A增大到22“A时,IC从1mA 变为2mA,那么它的0约为(C )

A、83

B、91

C、100

11?典型差动放大电路的公共射极电阻Re,对(B )抑制作用

A、差模信号有

B、共模信号有

C、差模与共模信号均有

D、差模与共模信号均没有

12. RC桥式正弦波振荡电路由两部分电路组成,即RC串并联选频网络和(D )。

A、基木共射放大电路

B、基木共集放大电路

C、反相比例运算电路

D、同相比例运算电路

13 ?稳压二极管稳压时,其工作在(C ),发光二极管发光时,其工作在(A )。

A、正向导通区

B、反向截止区

C、反向击穿区

14.与甲类功率放大方式相比,乙类互补对称功放的主要优点是(C )。

A.不用输出变压器

B.不用输出端大电容C?效率高D.无交越失真

15.具有放大环节的串联型稳压电路中,比较放大电路所放大的量是(D )

A.经整流滤波后提供的直流电压

B.稳压电路中的基准电压

C.稳压电路中的输出釆样电压

D.釆样电压与基准电压的差值

16、测得放大电路屮某晶体管三个电极对地的电位分别为6V、5.3V和?6V,则该三极管的类型为(B )o

A.硅PNP型

B.硅NPN型

C.错PNP型

D.错NPN型

17、工作在放大状态的双极型晶体管是(A )o

A.屯流控制元件

B.屯压控制元件

C.不可控元件

18、已知多级放人电路Aul=20dB, Au2=40dB,则电路总的电压放大倍数Au为(C )dBo

A. 80 B? 800 C? 60 D.20

19、正弦波振荡电路的输出信号最初是Eb (B )中而来。

A.基本放大屯路

B.干扰或噪咅信号

C.选频网络

20、( D )运算电路可实现函数Y=aXi+bX2+cX3, a> b、c均小于零。

A、同相比例

B、同相比例

C、同相求和

D、反相求和

21、R C桥式振荡电路中RC串并联网络的作用是(D )。

A、选频

B、引入正反馈

C、稳幅和引入正反馈

D、选频和引入正反馈

22、互补输岀级采用共集电极形式是为了使(C )

A、电压放大倍数的数值大

B、最大不失真输出电压大

C、带负载能力强

如图所示的分压式偏置电路中,三极管为硅管,0 = 4(), ^£=0.7V O (1)估算电路的静态工作点;(2)若接入5k Q的

负载电阻,求电压放大倍数,输入电阻和输出电阻;

(1)用估算法求静态工作点

R 2

U R =——竺—U" =—^―X12 = 2V

R BI+R B?15 + 3

5 -%Q = 2-OJ = 2.6/M,Ic=2.6mA

EQ R

E500

. I F 26

1 BO =门,=—=63/zA

呃0 + 1 41 尸

U CEQ = Uy一】c(Rc + 心)=12-2.6x(2 + 0.5) = 5.5V

(2)电压放大倍数A =一0心⑷,

其中r he = 300 + 0 —二30() + 40 一= 700Q = 0.7RQ

】c 2.6

A = -40 匹=-82

().7

耳=R B\ II ^2 II 金=15 II31| 0.7 = 0.55也r0=R c=2k^l

深度负反馈电路如图所示,若要降低输出电阻,应从C 点和E 点中哪点引出输出电 压?设负载电阻为分别估算从C 点输出电压和从E 点输出电压吋的电压放大倍 数。 解:要降低输出电阻,应引入电压负反馈, 因此,输出电压要从E 点引出。 电路从C 点引出输出电压时 电压放大倍数

A R ;

二心 II 他

“ U ( R E R E

电路从E 点引出输出电压时 U 产 Uf=U°

A” =1,与R L 无关。 计算题

R F U I{ + R {U Q _ 2幻]+ w 0

u —= — —

/?, +R

由虚短u +=u.得

U ()=? 2ui]+2ui2+Ui3

求如图所示运算电路的输入输岀关 系。

U

!2 . U I3

尺2 尺3 _ 尺3弘/2十尺2%/3 丄

+丄一 R2f 尺2 &

R F

试用相位平衡条件判断图示两个电路是否有可能产牛正弦波振荡。如可能振荡, 指出该振荡电路属于什么类型(如变压器反馈式、电感三点式、电容三点式等), 并

+%c

二二Cl

C2

K V2

TJ

写出其振荡频率。

a)不能......(b)能…,电容三点式…

f = ------- ]

V C1 + C2

计算题

如图所示的整流滤波电路中,已知U2=20V,求下列情况下A、B两点间的电压: (1)电路止常工作;(2)电容C开路;(3)负载心开路;(4)二极管D】开路。

解:(1)桥式整流、电容滤波电路的

输出电压U0=1.2U2=24V O

(2)电容开路。电路为桥式整流电路,输出电压U()=0.9 U2=18V O

(3)负载开路,电容无放电回路,U0=U2m=1.4U2=28Vo

(4)D,开路,输出电压为半波整流电

Di

+

U1

R L

Ds

U2

Di

路的输出电压,U0=0.45U2=9V O

电路如图所示,已知 u sl =0. 6V. u s2=0. 8V> R 严50kQ 、R/lOOkQ 、R 2==100k

Q 、R 3=33kQ . R 沪50kQ,假设运放是理想的,试计算电路的输岀电压 u 0.

U 。严一 L2V

— 1.2 — 0.8 0.8-U () ~100-_ 50

问答题

1、电路如下图所示,二极管是导通还是截止,R 二10K,试求出A0两点间的电 压U A0?(忽略二极管的正向压降) 解:

导通

U AO =8V

U S 2-U Q R R 2

f2

2、判断电路的反馈的组态、极性。

U () =1.8V

(a)

Rn

电压并联负反馈。负反馈 计算题 电路如图为分压式偏置放大电路,已知v cc 二24V ,

nz : r bc =200 + (1 + 0)——= 800 £2

1E

/、人 0(心〃他)

(2) A “ = 一 ——= 一 93. 7:

(3) 人=_二^ = _187. 5 (4)

R { = /?R1 // 心? 〃 氐=800 Q

计算题

有一桥式整流电容滤波电路,直流负载所需直流电压U,=15V,负载电阻中的直 流电流I 讦100mA ;已知交流电源的频率为50H z ,电压为220V,试确定变压器的变 比、整流二极管的参数。(6分)

解;U 2=15/l. 2=12. 5V 变压器的变比为:220/12. 5

R BI =30 K Q

R c 二3K Q , R,.:二3K Q ,

R B 2 二 10 K Q , R,.二

3 K Q

试求:(1 )估算静态工作点;

(2 )计算电压放大倍数(接入负载电 阻

RQ;

(3 )空载时的电压放大倍数; (4 )估算放大电路的输入电阻和输出 电

阻。

U CE = V cc -(/?c + /?R )X/C = 10. 8V R O =R C = 3K Q

44 u A

U E = U B 一 S E = 3. 3V

0 一

二极管整流电流L)=1/2二50mA

二极管耐压屁2 =1?414X12.5=17.7U

(3) 5 分

4

_ ° R

c

//

R L _ “

2.4//3

A =—卩 ------------ --- =—50 x ---------------------

么 +(1 + 0)尺, 1.825 + 51x0.51 尺二倚〃(以+(1 + 0)&) = 25.4KQ

R° = & = 2.4KQ

-2.3

/(.二他= 50x0.016 = 0&M

3.67V

(1)6 分厶他 +U 施 +(1 + 0)皿=E c 300仏 +0.7+ 51 乙 X0.51 =6

I B — 0.016mA

计算题:判断图示各电路中是否引入了反馈;若引入了反馈,则判断是正反馈还是负反馈;若引入了交流负反馈,则判断是哪种组态的负反馈,并求出反馈系数和深度负反馈条件下的电压放大倍数。设图中所有电容对交流信号均可视为短路。

(C)(d)

解:图(a )所示电路中引入了电流串联负反馈。反馈系数和深度负反馈条件下的电压放大倍数人汀分别为

/?! + R-, + R、

式中久为电流表的等效电阻。

图(b)所示电路中引入了电压并联负反馈。反馈系数和深度负反馈条件下的电压放大倍数分别为

图(C)所示电路中引入了电压串联负反馈。的电压放大

倍数Af分别为

图(d)所示电路中引入了正反馈。

反馈系数和深度负反馈条件下

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

模拟电子技术基础期末考试试题及答案

《模拟电子技术》模拟试题一 一、填空题:(每空1分共40分) 1、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向) 导电性。 2、漂移电流是(温度)电流,它由(少数)载流子形成,其大小与(温 度)有关,而与外加电压(无关)。 3、所谓理想二极管,就是当其正偏时,结电阻为(0 ),等效成一条直线;当其 反偏时,结电阻为(无穷),等效成断开; 4、三极管是(电流)控制元件,场效应管是(电压)控制元件。 5、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 6、当温度升高时,晶体三极管集电极电流Ic(变小),发射结压降(不变)。 7、三极管放大电路共有三种组态分别是(共基)、(共射)、(共集) 放大电路。 8、为了稳定三极管放大电路的静态工作点,采用(电压并联)负反馈,为了稳 定交流输出电流采用(串联)负反馈。 9、负反馈放大电路和放大倍数AF=(1/(1/A+F)),对于深度负反馈放大电路 的放大倍数AF=(1/ F )。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(), ()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号, 而加上大小相等、极性相反的两个信号,称为()信号。 12、为了消除乙类互补功率放大器输出波形的()失真,而采用()类互 补功率放大器。 13、OCL电路是()电源互补功率放大电路; OTL电路是()电源互补功率放大电路。 14、共集电极放大电路具有电压放大倍数(),输入电阻(),输出电阻 ()等特点,所以常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制()漂移,也称()漂移,所以它广泛应用于() 电路中。 16、用待传输的低频信号去改变高频信号的幅度称为(),未被调制的高频信 号是运载信息的工具,称为()。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.360docs.net/doc/ec15577451.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

模拟电子技术基础考试试题答案

一、填空(共20空,每空 1 分,共 20 分,所有答案均填写在答题纸上) 1、晶体管三极管被称为双极型晶体管是因为 。 2、晶体三极管的输出特性可分三个区域,只有当三极管工作在 区时,关系式b I Ic β=才成立。 3、场效应管可分为结型场效应管和 型场效应管两种类型。 4、在由晶体管构成的单管放大电路的三种基本接法中,共 基本放大电路既能放大电流又能放大电压。 5、在绘制放大电路的交流通路时, 视为短路, 视为短路,但若有内阻则应保留其内阻。 6、多级放大电路级间的耦合方式有 、 、变压器耦合和光电耦合等。 7、场效应管是利用 极和 极之间的电场效应来控制漏极电流从而实现放大的半导体器件。 8、放大电路的直流通路用于研究 。 9、理想运放的两个输入端虚短是指 。 10、为判断放大电路中引入的反馈是电压反馈还是电流反馈,通常令输出电压为零,看反馈是否依然存在。若输出电压置零后反馈仍然存在则为 。 11、仅存在于放大电路的直流通路中的反馈称为 。 12、通用集成运放电路由输入级、中间级、 和 四部分组成。 13、集成运放的同相输入端和反相输入端中的“同相”和“反相”是指运放的 和 的相位关系。 14、在学习晶体三极管和场效应管的特性曲线时可以用类比法理解,三极管的放大工作区可与场效应管的 区相类比,而场效应管的可变电阻区则可以和三极管的 相类比。 二、单项选择题(共10题,每题 2 分,共 20分;将正确选项的标号填在答题纸上) 1、稳压二极管的反向电流小于min z I 时,稳压二极管 。 A :稳压效果变差 B :仍能较好稳压,但稳定电压变大 C :反向截止 D :仍能较好稳压,但稳定电压变小 2、如果在PNP 型三极管放大电路中测得发射结为正向偏置,集电结反向偏置,则此管的工作状态为 。 A :饱和状态 B :截止状态 C :放大状态 D :不能确定 3、已知两只晶体管的电流放大系数β分别为50和100,现测得放大电路中这两只管子两个电极的电流如图1所示。关于这两只三极管,正确的说法是 。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

模拟电子技术基础考试试题答案-(1)

第1页 共5页 一、填空(共20空,每空 1 分,共 20 分,所有答案均填写在答题纸上) 1、场效应管被称为单极型晶体管是因为 。 2、晶体三极管的输出特性可分三个区域,当三极管工作在 区时, b I Ic β<。 3、场效应管可分为 型场效应管和结型场效应管两种类型。 4、在由晶体管构成的单管放大电路的三种基本接法中,共 基本放大电路只能放大电压不能放大电流。 5、在绘制电子放大电路的直流通路时,电路中出现的 视为开路, 视为短路,信号源可视为为短路但应保留其内阻。 6、多级放大电路级间的耦合方式有直接耦合、阻容耦合、 和 耦合等。 7、晶体管是利用 极电流来控制 极电流从而实现放大的半导体器件。 8、放大电路的交流通路用于研究 。 9、理想运放的两个输入端虚断是指 。 10、为判断放大电路中引入的反馈是电压反馈还是电流反馈,通常令输出电压为零,看反馈是否依然存在。若输出电压置零后反馈不复存在则为 。 11、仅存在于放大电路的交流通路中的反馈称为 。 12、通用集成运放电路由 、 、输出级和偏置电路四部分组成。 13、如果集成运放的某个输入端瞬时极性为正时,输出端的瞬时极性也为正,该输入端是 相输入端,否则该输入端是 相输入端。 14、差分放大电路的差模放大倍数和共模放大倍数是不同的, 越大越好, 越小越好。 二、单项选择题(共10题,每题 2 分,共 20分;将正确选项的标号填在答题纸上) 1、稳压二极管如果采用正向接法,稳压二极管将 。 A :稳压效果变差 B :稳定电压变为二极管的正向导通压降 C :截止 D :稳压值不变,但稳定电压极性发生变化 2、如果在PNP 型三极管放大电路中测得发射结为正向偏置,集电结正向偏置,则此管的工作状态为 。 A :饱和状态 B :截止状态 C :放大状态 D :不能确定 3、测得一放大电路中的三极管各电极相对一地的电压如图1所示,该管为 。 A : PNP 型硅管 B :NPN 型锗管 C : NPN 型硅管 D :PNP 型锗管 7V 0.7V 0V ① ② ③

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

《模拟电子技术》复习题10套及答案

《模拟电子技术》复习题一 一、填空题 1、在N 型半导体中,多数载流子是 ;在P 型半导体中,多数载流子是 。 2、场效应管从结构上分为结型和 两大类,它属于 控制性器件。 3、为了使高阻信号源与低阻负载能很好地配合,可以在信号源与负载之间接入 (共射、共集、共基)组态放大电路。 4、在多级放大器中,中间某一级的 电阻是上一级的负载。 5、集成运放应用电路如果工作在线性放大状态,一般要引入____________。 6、根据下图中各三极管的电位,判断它们所处的状态分别为_________、_________、_________。 7、正弦波振荡电路通常由 , , 和 四部分组成。 二、选择题 1、利用二极管的( )组成整流电路。 A 正向特性 B 单向导电性 C 反向击穿特性 2、P 型半导体是在本征半导体中加入( )后形成的杂质半导体。 A 空穴 B 三价元素硼 C 五价元素锑 3、场效应管的漏极特性曲线如图2-3所示,其类型为( )场效应管。 A P 沟道增强型MOS 型 B P 沟道耗尽型MOS 型 C N 沟道增强型MOS 型 D N 沟道耗尽型MOS 型 E N 沟道结型 F P 沟道结型 图2-10 4、有一晶体管接在放大电路中,今测得它的各极对地电位分别为V 1=-4V,V 2=-1.2V,V 3=-1.4V,试判别管子的三个管脚分别是( )。 ++ + - ---D1 D2R Rb Rc Rb Rb1Rb2Re1Re2 Rc RL C1Ce C1C2L 15V 8V 50K 2.5K B=50 Uo Ui +5V Rf Re1Re2Ucc Ucc Ucc C2 Ugs+10-1-2 id Ubs us Rs R R R 2R Uo1 Uo2Uo4 + +1A1 A2 A4 图2-1 图2-2图2-3 图2-6 图2-4 图2-5++ + + + --- --D1D2R Rb Rc Rb Rb1 Rb2Re1 Re2 Rc RL C1 Ce C1 C2 L 15V 8V 50K 2.5K B=50Uo Ui +5V Rf Re1Re2Ucc Ucc Ucc C2 Ugs+10-1 -2 id Ubs us Rs R R R 2R Uo1Uo2 Uo4++1A1 A2A4图2-1 图2-2 图2-3图2-6 图2-4图2-5

模拟电子技术基础试题汇总

模拟电子技术基础试题汇总 一.选择题 1.当温度升高时,二极管反向饱和电流将( )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管处于( ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( )。 ( A)温度稳定性( B)单向导电性( C)放大作用( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工作点过高,容易产生 ( )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=,关于输出电压的说法正确的是( )。 A:u I1=3V,u I2=时输出电压为。 B:u I1=3V,u I2=时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=,u I2=时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可能的原因是。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻R b变高。

8. 直流负反馈是指( ) A. 存在于RC 耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A 为理想运放,则电路的输出电压约为( ) A. - B. -5V C. - D. - 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV ,则差模输 入电压△υid 为( ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信号源与低阻负载间接入 ( )。 A. 共射电路 B. 共基电路 C. 共集电路 D. 共集-共基串联电路 13. 在考虑放大电路的频率失真时,若i υ为正弦波,则o υ( ) A. 有可能产生相位失真 B. 有可能产生幅度失真和相位失真 C. 一定会产生非线性失真 D. 不会产生线性失真 14. 工作在电压比较器中的运放与工作在运算电路中的运放的主要区别是,前者的运 放通常工作在( )。 A. 开环或正反馈状态 B. 深度负反馈状态 C. 放大状态 D. 线性工作状态 15. 多级负反馈放大电路在( )情况下容易引起自激。 A. 回路增益F A &&大 B 反馈系数太小

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

《模拟电子技术》模拟试题一及答案

14 15 16 模拟电子技术》模拟试题一 、 填空题:(每空 1、PN 结正偏时( 2、 漂移电流是( 3、 所谓理想二极管, 等效成断开; 1 分 共 40 分) ),反偏时( )电流,它由( 就是当其正偏时, ),所以 PN 结具有( )载流子形成,其大小与( 结电阻为 ),等效成一条直线; )导电性。 )有关,而与外加电压( 当其反偏时, 结电阻为 ( )。 ), 4、 三极管是( ) 5、 三极管具有放大作用外部电压条件是发射结( 6、 当温度升高时,晶体三极管集电极电流 Ic 7、 三极管放大电路共有三种组态分别是( 8、 为了稳定三极管放大电路的静态工作点,采用 反馈。 控制元件,场效应管是( 9、负反馈放大电路和放大倍数 AF= ( 10 、带有负反馈放大电路的频带宽度 BWF=( )、 控制元件。 ),集电结( ),发射结压降( )、( ) ) 。 )。 放大电路。 )负反馈,为了稳定交流输出电流采用( ), 对于深度负反馈放大电路的放大倍数 )BW 其中 BW=( ),( AF= ( )称为反馈深度。 )。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为( 极性相反的两个信号,称为( )信号。 )信号,而加上大小相等、 12、 为了消除乙类互补功率放大器输出波形的( 13、 OCL 电路是( )电源互补功率放大电路; 、共集电极放大电路具有电压放大倍数( 用在输入级,输出级或缓冲级。 差分放大电路能够抑制( )漂移,也称( 用待传输的低频信号去改变高频信号的幅度称为( 称为( )失真,而采用 OTL 电路是( ),输入电阻( ), )。 17、 模拟乘法器输出与输入的关系式是 U0=( 选择题(每空 2 分 共 30 分) )类互补功率放大器。 电源互补功率放大电路。 输出电阻( )等特点,所以常 )漂移,所以它广泛应用于( )电路中。 ),未被调制的高频信号是运载信息的工具, ), 电路符号是( )。 、稳压二极管是一个可逆击穿二极管, 值Uz 才有导通电流,否则处于( A 、正偏 B 、反偏 C 、大于 D 、小于 E 、导通 、用直流电压表测得放大电路中某三极管各极电位分别是 该管是( )型。 稳压时工作在 )状态。 )状态,但其两端电压必须( )它的稳压 F 、截止 2V 、6V 、 2.7V ,则三个电极分别是( ), A 、( B 、 C 、E ) B 、( C 、B 、E ) C 、(E 、 、对功率放大器的要求主要是( )、( )、( A 、U 0高 B 、P o 大 C 、功率大 D 、R 大 、共射极放大电路的交流输出波形上半周失真时为( A 、饱和失真 B 、截止失真 C 、交越失真 D 、增大 E 、减小 B ) D 、(NPN ) C 、 )。 E 、波形不失真 ),此时应该( E 、(PNP ) )偏置电阻。 5、 差分放大电路是为了( )而设置的。 A 、稳定Au B 、放大信号 C 、抑制零点漂移 6、 共集电极放大电路的负反馈组态是( )。 A 、压串负 B 、流串负 C 、压并负 7、 差分放大电路 RE 上的直流电流IEQ 近似等于单管集电极电流 ICQ ()倍 C 、 3 A 、 1 B 、 2

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

模拟电子技术复习试题及答案解析

一、填空题:(要求) 1、电子电路中常用的半导体器件有二极管、稳压管、双极型三极管和场效应等。制造这些器材的主要材料是半导体,例如和等。 半导体中中存在两种载流子:和。纯净的半导体称为,它的导电能力很差。掺有少量其他元素的半导体称为杂质半导体。杂质半导体分为两种:型半导体——多数载流子是; 型半导体——多数载流子是。当把P型半导体和N型半导体结合在一起时,在两者的交界处形成一个结,这是制造半导体器件的基础。 2、三极管的共射输出特性可以划分为三个区:区、区和区。为了对输入信号进行线形放大,避免产生严重的非线形性失真,应使三极管工作在区内。当三极管的静态工作点过分靠近 区时容易产生截止失真,当三极管的静态工作点靠近区时容易产生饱和失真。 3、半导体二极管就是利用一个加上外壳,引出两个电极而制成的。它的主要特点是具有性,在电路中可以起整流和检波等作用。半导体二极管工作在区时,即使流过管子的电流变化很大,管子两端的电压变化也很小,利用这种特性可以做成。 4、场效应管利用栅源之间电压的效应来控制漏极电流,是一种控制器件。场效应管分为型和型两大类。 5、多极放大电路常用的耦合方式有三种:耦合、耦合和耦合。 6、在本征半导体中加入价元素可形成N型半导体,加入价元素可形成P型半导体。 7、集成运放中常用的偏置电路有电流源、电流源和电流源等。 8、不同类型的反馈对放大电路产生的影响不同。正反馈使放大倍数;负反馈使放大倍数;但其他各项性能可以获得改善。直流负反馈的作用是,交流负反馈能够。 9、电压负反馈使输出保持稳定,因而了放大电路的输出电阻;而电流负反馈使输出 保持稳定,因而了输出电阻。串联负反馈了放大电路的输入电阻;并联负反馈则了输入电阻。在实际的负反馈放大电路中,有以下四种基本的反馈组态:式、式、式和式。 10、将一个RC低通电路与一个RC高通电路联在一起,可以组成带通滤波器;将一个RC低通电路与一个RC高通电路联在一起,可以组成带阻滤波器。 11、滤波电路的主要任务是尽量滤掉输出电路中的成分,同时,尽量保留其中的成分。

模拟电子技术基础试题汇总附有答案.

模拟电子技术基础试题汇总 1.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管 处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工 作点过高,容易产生

( B )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=0.7V,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=0.3V时输出电压为3.7V。 B:u I1=3V,u I2=0.3V时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=0.3V,u I2=0.3V时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是 。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻 R b变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. -2.5V B. -5V C. -6.5V D. -7.5V 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信 号源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

模拟电子技术期末试题及答案

《模拟电子期末练习题》应用电子2班张昌文 《模拟电子技术》模拟试题一 填空题:(每空1分共40分) 1、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向)导电性。 2、漂移电流是()电流,它由()载流子形成,其大小与()有关,而与外加电压()。 3、所谓理想二极管,就是当其正偏时,结电阻为(零),等效成一条直线;当其反偏时,结电阻为(无穷大),等效成断开; 4、三极管是(电流)控制元件,场效应管是(电压)控制元件。 5、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 6、当温度升高时,晶体三极管集电极电流Ic(变大),发射结压降(变小)。 7、三极管放大电路共有三种组态分别是(共)、()、()放大电路。 8、为了稳定三极管放大电路的静态工作点,采用()负反馈,为了稳定交流输出电流采用()负反馈。 9、负反馈放大电路和放大倍数AF=(),对于深度负反馈放大电路的放大倍数AF=()。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(),()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号,而加上大小相等、极性相反的两个信号,称 为()信号。 12、为了消除乙类互补功率放大器输出波形的(交越)失真,而采用(甲乙类)类互补功率放大器。 13、OCL电路是(双)电源互补功率放大电路;OTL电路是(单)电源互补功率放大电路。 14、共集电极电路电压放大倍数(1),输入电阻(大),输出电阻(小),常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制(零点)漂移,也称(温度)漂移,所以它广泛应用于(集成)电路中。 16、用待传输的低频信号去改变高频信号的幅度称(调幅),未被调制的高频信号是运载信息的工具称(载波信号)。 17、模拟乘法器输出与输入的关系式是U0=(KU X U Y ),电路符号是()。 二、选择题 1、稳压二极管是一个可逆击穿二极管,稳压时工作在(B)状态,但其两端电压必须(C),它的稳压值Uz才有 导通电流,否则处于(F )状态。 A、正偏 B、反偏 C、大于 D、小于 E、导通 F、截止 2、用直流电压表测得放大电路中某三极管各极电位分别是2V、6V、2.7V,则三个电极分别是(C),该管是(D)型。 A、( B、 C、E)B、(C、B、E)C、(E、C、B) D、(NPN) E、(PNP) 3、对功率放大器的要求主要是(B)、(D)、(E)。A、U0高 B、P0大 C、功率大 D、Ri大 E、波形不失真 4、共射极放大电路的交流输出波形上半周失真时为(b ),此时应该( e )偏置电阻。 A、饱和失真 B、截止失真 C、交越失真 D、增大 E、减小 5、差分放大电路是为了(C)而设置的。A、稳定Au B、放大信号C、抑制零点漂移 6、共集电极放大电路的负反馈组态是(A )。A、压串负B、流串负C、压并负 7、差分放大电路RE上的直流电流IEQ近似等于单管集电极电流ICQ(B )倍。A、1 B、2 C、3 8、为了使放大器带负载能力强,一般引入(A )负反馈。A、电压B、电流C、串联

相关文档
最新文档