北京理工大学数字电路课程设计交通灯控制器预习报告

北京理工大学数字电路课程设计交通灯控制器预习报告
北京理工大学数字电路课程设计交通灯控制器预习报告

本科实验报告

实验名称: 交 通 灯 控 制 器

课程设计II ——交通灯控制器

一、实验目的

1. 巩固所学《数字电路与系统设计》知识,学习数字系统设计方法;

2. 用中小规模集成电路设计、安装、调试一个小型数字控制系统:交通灯控制器;

3. 使用MULTISIM对所设计系统进行仿真;

4. 掌握数字系统的调试方法:应该学会用示波器来进行测试。

二、实验原理

1. 数字系统的概念

数字系统是指对数字信息进行存储、传输、处理的电子系统。它的输入和输出都是数字量。通常把门电路、触发器等称为逻辑器件;将由逻辑器件构成,能执行某单一功能的电路,如计数器、译码器、加法器等,称为逻辑功能部件;把由逻辑功能部件组成的能实现复杂功能的数字电路称数字系统。

数字系统和功能部件之间的区别之一在于功能是否单一。一个存储器尽管规模很大,可以达到数兆甚至G 字节,但因其功能单一,只能算是逻辑部件;而由几片MSI 构成的交通灯控制器却可称为系统。数字系统和功能部件之间的区别之二在于是否包含控制电路。一个数字电路,无论其规模大小,只有在具有控制电路的情况下才能称之为系统。

2. 数字模块与数字系统的设计思路——自顶向下的设计方法

自顶向下的设计方法是从整体系统功能出发,按一定原则将系统划分为若干子系统,再将每个子系统分为若干功能块,再将每个模块分成若干较小的模块……直至分成多基本模块实现。

《数字电路与系统设计》课程中我们接触到的数字模块有:多路选择器、译码器、计数器、移位寄存器、状态机等等。一个数字系统可看成由若干数字模块组成的。进行设计时可以先将系统分解为若干个子系统(模块),每个子系统完成某一功能。将每个子系统设计完毕后,再由子系统构成整个系统。仿真、安装、调试时可逐个模块进行,再将它们连接起来进行调试。

3. 交通灯控制器的原理设计 (1)交通灯功能概述

交通灯示意图如下所示,其中传感器的作用为判断支路是否有车辆通过(或等候),若支路无车,则主路绿灯常亮。其中主路绿灯时间为T M =16s ,支路绿灯时间为T B =12s ,黄灯时间为T Y =3s ,支路传感器信号为V S (支路有车,则V S 为高电平)。

图1 交通灯示意图

控器

定 时 器

七段显示译码器

Q1

Q0

七段数码管

CNT

LD

T L

T S

T Y

V S

2

其中

,定时器用74LS163电路中的触发器用D 触发器,使用双D 触发器74LS74。值得注意的是74LS163为加法计数器,但在交通灯控制器中所需要的是倒计时功能,即实现减法计数器,故需将74LS163计数器的输出反相,并采用预置法实现模M 计数。

(3)交通灯控制器状态图

交通灯控制器状态转换示意图如下。(注:在状态图中,T L =1代表主路绿灯时间已倒计为0,T Y , T S 同;V S =1表示支路有车)。

S000S101S211S310MG BR MY BR

MR BG

MR BY

图3 交通灯控制器状态转换图

状态方程:101010101010n L S S S Q Q Q T V QQ Q Q T V Q Q +=?+?+?+?

111010101001n Y Y Q Q Q QQ T Q Q Q Q T +=?+?+?+?

从状态方程可以看出,用4-1MUX 实现较为简单。

状态个数为4,故所需D 触发器个数为2,其驱动方程即为状态方程。

(4)定时器的设计

主路绿灯时间为T M =16s ,支路绿灯时间为T B =12s ,黄灯时间为T Y =3s.

从表中可得:

01231010D S S S S =?+?+?+? 01231110C S S S S =?+?+?+? 012300000B S S S S =?+?+?+?= 01231010A S S S S D =?+?+?+?=

故采用4-1MUX 实现以上逻辑函数较为简单,且实现D ,C 逻辑函数即可。

(5)时钟CLK 的发生原理

用555定时器构成多谐振荡器,产生频率为1Hz 的时钟信号。

三、元件清单

1. NE555 555定时器 1片

2. 74LS00 四 2 与非门

2片 3. 74LS04

六 非门

1片

4. 74LS08 四2 与门3片

5. 74LS32 四2 或门1片

6. 74LS74 双D触发器1片

7. 74LS153 双4-1多路选择器2片

8. 74LS163 4位二进制同步计数器1片

9. 74LS248 BCD-七段显示译码器1片

10. 74LS138 3-8 译码器1片

11. LED 6个

12. 七段显示器1或2片

13. 电阻、电容、导线若干

四、实验内容和主要步骤

1. 时钟信号的发生

用555定时器构成多谐振荡器,原理图如右图所示,用MULTISIM仿真结果可得其振荡频率为1Hz。

图4 时钟信号的发生原理图2. 定时器和控制器的设计

图5 定时器和控制器的设计原理图

用MULTISIM 仿真结果如下:

A 闭合时(即T S =1,支路有车时),波形图如下:

图6 输出波形图

(从上至下依次为163输出Q D Q C Q B Q A ,预置端DCBA ,进位ROC ,状态Q 1Q 0)

A 断开时(即T S =0,支路无车时)仿真结果为Q 1Q 0=00,与状态图相符。

3. 时间显示电路的设计

从上波形图可以看出,163为加法计数器,则为实现红绿灯倒计时功能,需在计数器输出端加反相器。同时将输出转换为BCD 码,当D C B A Q Q Q Q 1001 时,加0110,用加法器实现之,则输入端输入为:

4321D C B A 4132D C B A A A A =Q Q Q Q ,B =B =0,

B =B =Q (Q +Q ).

其中B 3B 2逻辑函数的实现可由画卡诺图得到:

A

Q

电路图如下:

图7 时间显示电路原理图

其中LT 端接V S ,目的是在支路无车时让数码管显示‘88’,区别正常计时。

4. LED 灯译码电路的设计 由状态图可知:

MG 10MY 10MR 1BG 10BY 10BR 1

LED =Q Q , LED =Q Q , LED =Q LED =Q Q , LED =Q Q , LED =Q

D

Q C

Q B

Q

图8 LED灯译码电路原理图5. 整体图设计

将以上子系统整合设计如下图:

图9 交通灯控制器整体原理图五、实验体会

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

交通灯控制系统 一、实验目的 (1)用PLC构成十字路口交通灯控制系统。 (2)掌握程序调试的步骤和方法。 (3)掌握构建实际PLC控制系统的能力。 二、实验要求 (1)复习PLC常用指令的功能及用法。 (2)复习PLC程序设计的一般方法。 (3)根据实验要求提前编写程序,待上机验证调试修改。 三、实验环境 软件:STEP 7-Micro_WIN V40+ SP9:S7-200的编程软件 STEP 7-Micro_WIN V32指令库 硬件:THSMS-2A型PLC实验箱(西门子)、电脑、连接导线、USB-PPI 通信电缆 四、实验内容及步骤 交通灯控制系统面板图如上图所示,控制要求如下: 交通信号灯受一个总控制开关控制,当总控制开关接通时,信号灯系统开始工作。 开始工作后,南北红灯和东西绿灯同时点亮,4秒后东西绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成东西黄灯亮,2秒后东西黄灯和南北红灯同时熄灭,东西红灯和南北绿灯同时点亮。4秒后南北绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成南北黄灯亮,2秒后南北黄灯和东西红灯同时熄灭,再次切换成南北红灯和东西绿灯同时点亮。如此循环,周而复始。 当总控制开关断开时,所有信号灯都熄灭。

(1)确定I/O点数。列出详细的I/O地址分配表。如(该表仅为举例, (3)输入编好的PLC控制程序。 (4)运行程序,按控制要求设置各输入量,观察PLC运行情况,记录南北、东西各灯顺序亮、灭的运行情况。调试程序直至正确为止。 解:由题目要求得,

②梯形图如下图①,语句表如下图②,时序图如下图③: 图①图① 图②图② 图③ 五、注意事项

交通控制器设计实验 一.实验目的 1.了解交通灯的亮灭规律。 2.了解交通灯控制器的工作原理。 3.进一步熟悉VHDL语言编程,了解实际设计中的优化方案。二.实验任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 三.原理分析 本系统主要由计数控制器和倒计时显示器电路组成。计数控制器实现总共90秒的计数,90秒也是交通控制系统的一个大循环;控制器控制系统的状态转移和红黄绿灯的信号输出;倒计时显示器电路实现45秒倒计时和显示功能。整个系统的工作时序受控制器控制,它是系统的核心。 控制器的整个工作过程用状态机进行描述,其状态转移关系如下图所示。五种状态描述如下: s0:东西方向红灯亮,南北方向绿灯亮,此状态持续40秒的时间; s1:东西方向红灯亮,南北方向黄灯亮,此状态持续5秒的时间;

s2:东西方向绿灯亮,南北方向红灯亮,此状态持续40秒的时间; s3:东西方向黄灯亮,南北方向红灯亮,此状态持续5秒的时间; s4:紧急制动状态,东西方向红灯亮,南北方向红灯亮,当紧急制动信号有效(hold=’0’)时进入这种状态。 当紧急制动信号无效(hold=’1’)时,状态机按照s0→s1→s2→s3→s0循环;当紧急制动有效(hold=’0’)时,状态机立即进入s4,两个方向红灯全亮,计数器停止计数;当紧急制动信号再恢复无效时,状态机会回到原来的状态继续执行。 四.电路设计 交通控制器系统顶层原理图如下图所示,它由计数控制器(control),45秒倒计时计数器(M45)模块组成。下面主要介绍计数控制器和倒计时计数器M45的设计方法。

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

状态1 甲车道黄灯亮 乙车道红灯亮 OO O 两方向车道的交通灯的运行状态共有 4种,如图2所 示,它们转换到数子状 态如下图。 TF:表示甲车道或乙车道红灯亮的时间间隔为 25秒,当TF=0时,甲车道为 红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车 道禁止 通行。此时TF=O,TS=0绿灯亮足规定的时间隔20s ,倒计时计数器发出状态转 换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 5s 时,倒计时计数器发出状态转换信号使 TF=1, TS=O,使控制器控制译码器 转到下一工作状态。 (3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车 辆允许通行,绿灯亮足规定的时间间隔 20s 时,倒计时计数器发出状态转换信 号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通 行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使 TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作 状态。 因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争 冒险的问题,所以可设计为当计数器为 00时即发生信号灯的转换,当信号灯 甲车道绿灯亮 乙车道红灯亮 000 以态2 甲车道红灯亮 乙车道碌灯亮 2- 图 ? 00 r 状态3 甲车直红*1亮 乙车道就侯 TS=O TS=1 TF=0 T 状态0 状态1 状态2 状态3

plc交通灯实验报告 篇一:PLC交通灯课程设计报告 信息与电子工程学院 课程设计报告 目录 一、课程设计概述 ................................................ .................. 3 1.1课程设计内容 ................................................ ........................... 3 1.2课程设计技术指标 ................................................ ................... 3 二、方案的选择及确定 ................................................ ........... 4 三、系统硬件设计 ................................................ .................. 5 四、系统软件设计 ................................................ .................. 6 五、触摸屏设计 ................................................ ...................... 8 六、系统调试 ................................................ ......................... 9 七、总结以体

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

实验五十字路口交通灯控制器设计一.实验目的 1.进一步加强经典状态机的设计 2.学会设计模可变倒计时计数器 二.实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三.实验设计: 1.一条主道,一条乡道,组成十字路口,要求优先保证主道通行。 2.当主道没有车通行,且乡道友车要通行时,并且此时主道通行时间大于1分钟,则主道变黄灯,乡道保持红灯,经过4秒倒计时时间进入主道为红灯乡道为绿灯的状态。再倒计时20秒钟。在倒计时过程中,若乡道突然没有车通行,马上进入主道红灯,乡道黄灯状态,倒计时4秒。 3.之后主道变为绿灯,乡道为红灯,这时无论乡道有无车通行都要倒计时60秒,然后若乡道有车通行则主道为黄灯,乡道为红灯,若乡道一直没有车要通行则保持主道通行,若乡道友车通行则按照上面的状态依次进行转换。 按照以上的思路,设计两个底层文件和一个顶层文件: 1.模块1是状态改变控制6盏灯的亮与灭。

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

宁夏大学新华学院课程考核

绪论 主要内容: 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C51单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。

基本要求: 利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。 系统的工作应符合一般交通灯控制的要求。 参考文献: [1] 张毅刚,彭喜元编著.《单片机原理与应用设计》 [2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》 [3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。 [4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。

目录 1课程设计要求 (3) 2 电路功能描述 (3) 3 设计方案 (3) 4设计原理图 (4) 5 VHDL语言 (4) 6仿真截图 (6) 7心得体会 (11) 8参考文献 (11)

1. 课程设计要求 1.1.红、黄、绿灯分别控制显示; 1.2.每一个状态分别分配一个时间显示(两位十进制,倒计时); 1.3.符合实际交通规律。 2.电路功能描述 本设计是实现交通灯的控制,模拟实现了红、绿、黄灯指挥交通的功能。本设计适用东西和南北方向的车流量大致相同的路口,红灯显示时间30S,绿灯显示时间25S,黄灯显示时间5S,同时用数码管指示当前的状态(红、绿、黄灯)的剩余时间。当有紧急状况发生时,两个方向都禁止通行,并且显示红灯,当紧急状况解除后,重新计时并且指示时间。 3.设计方案 根据设计要求,需要控制显示红、黄、绿三个灯的亮灭状态及显示的时间。这个设计主要由两部分组成,红黄绿灯的显示模块,显示时间模块。由实际的交通情况可知,东西方向的显示情况是一致的,南北方向的显示情况也是一致,故在设计的时候就只考虑两种状态,将东西方向合成一种,南北方向合成一种。红黄绿灯的显示模块用两组共6个灯显示,时间显示模块用LED数码管显示。 此外,本交通灯控制器设置的红黄绿显示方式是参照一些城市的显示规律,红灯30S,绿灯25S,黄灯5S,同时用数码管指示当前状(红、绿、黄灯)的剩余时间。另外还设有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。时间采用倒计时的方式显示。 本设计采用VHDL语言编程,描述各个硬件模块实现的功能,使红、黄、绿灯的转换有一个准确的转换顺序和时间间隔,并进行仿真,通过仿真的结果,得出实验的结果。 在正常情况下的一个完整周期内,交通灯控制器系统一共有四种状态,分别是东西红、南北绿,东西红、南北黄,东西绿、南北红,东西黄、南北红。其运行方式为东西红、南北绿→东西红、南北黄→东西绿、南北红→东西黄、南北绿,东西黄、南北绿结束后再回到东西红、南北绿的状态,整个周期持续60s。urgency 为紧急控制信号,为高电平时系统转换为东西南北均是红灯亮的状态,状态结束后系统重新设置,转换为东西红,南北绿的状态。

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。(5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。 三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

摘要 在今天的交通情况下,很多路口都出现拥堵与秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目就是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流与努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道与支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计内容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3、1 总原理 (2) 3、2 控制电路 (3) 3、3 时钟产生电路 (3) 3、4 显示电路 (4) 3、5 器件 (5) 3、5、1可预置的十进制同步计数器74LS160 (5) 3、5、2 3 线-8 线译码器74LS138 (5) 3、5、3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3、5、4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4、1 通电前检查 (7) 4、2 通电检查 (7) 4、2、1 555电路模块的检查 (7) 4、2、2 CD4511的检查 (7) 4、2、3 74LS192的检查 (8) 4、2、4 控制电路及相关门电路的检查 (8) 4、2、5 发光二极管的检查 (9) 4、3 结果分析 (9) 5 设计总结 (10) 5、1 体会 (10) 5、2 设计电路的特点与方案的优缺点 (11) 5、3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ.................................................................. 错误!未定义书签。

EDA实验报告 一、课程设计题目及要求 题目: 十字路口交通灯 具体要求: 设计一个十字路口得交通灯控制器,能显示十字路口东西、南北两个方向红、黄、绿灯得指示状态。用两组红、黄、绿三种颜色得灯分别作为东西、南北两个方向红、黄、绿等。变化规律为:东西绿灯亮,南北红灯亮——东西黄灯亮,南北红灯亮——东西红灯亮,南北绿灯亮——东西红灯亮,南北黄灯亮——东西绿灯亮,南北红灯亮······,这样循环下去。南北方向每次通行时间为45秒,东西方向每次通行时间为45秒,要求两条交叉道路上得车辆交替运行,时间可设置修改。绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。并要求所有交通灯得状态变化在时钟脉冲上升沿处。 二、实验编程环境 QuartusII 8、0 三、课程设计得详细设计方案 (一)、总体设计方案得描述 1、1、根据交通灯系统设计要求,可以用一个有限状态机来实现这个交通灯控制器。首先根据功能要求,明确两组交通灯得状态,这两组交通灯总共共有四种状态,我们用ST0,ST1,ST2,ST3 来表示: St0表示东西路绿灯亮,南北路红灯亮; St1表示东西路黄灯亮,南北路红灯亮; St2表示东西路红灯亮,南北路绿灯亮; St3表示东西路红灯亮,南北路黄灯亮; 1、2、根据上述四种状态描述列出得状态转换表 1、3、根据状态转换表得到交通灯控制器得状态转移图如图所示。

交通灯控制器得状态转移图 (二)各个模块设计 2、1、控制器模块 控制器模块示意图 其中,clk 为时钟信号,时钟上升沿有效。hold 为紧急制动信号,低电平有效。ared,agreen,ayellow 分别表示东西方向得红灯,黄灯,绿灯显示信号,高电平有效。 bred,bgreen,byellow 分别表示南北方向得红灯,黄灯,绿灯显示信号,高电平有效。 用于控制红绿黄灯得亮暗情况。 2、2、45秒倒计时计数器模块 45秒倒计时计数器模块示意图 其中,CLK 为时钟信号,时钟上升沿有效。EN 为使能端,高电平有效。CR 为紧急制动信号低电平有效。QL{3、、0}就是计数低位。QH{3、、0}就是计数高位。 用于45秒得倒计时计数。 2、3、7位译码器模块 7位译码器模块示意图 其中dat{3、、0}为要译码得信号。a,b,c,d,e,f,g 为译码后得信号。 用于将45秒倒计时计数得信号译码成数码管可以识别得信号。 2、4、50MHZ 分频器模块 50MHZ 分频器模块示意图 其中clk 为50MHZ 时钟信号,时钟上升沿有效。输出clk_out 为1HZ 时钟信号,时钟上升CLK EN CR QL[3、、0] QH[3、、0] OC m45 inst2

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

相关文档
最新文档