555定时器 电子课程设计解析

555定时器 电子课程设计解析
555定时器 电子课程设计解析

摘要 (2)

1. Multisim软件的简介 (3)

2. 系统设计总体方案 (3)

2.1 设计基本思路 (3)

2.2 设计总流程图 (4)

3. 555定时器,CD4518和CD4011介绍 (4)

3.1 555定时器 (4)

3.2 CD4518 (6)

3.3 CD4011引脚图 (8)

4. 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块电路图 (9)

4.1 数字逻辑控制模块 (9)

4.1.1 数字逻辑控制模块电路图 (9)

4.1.2 数字逻辑控制模块原理 (10)

4.2 脉冲信号产生模块 (10)

4.2.1 脉冲信号产生模块电路图 (10)

4.2.2 冲信号产生模块原理 (11)

4.3 计数器计数模块 (12)

4.3.1 计数器计数电路图 (12)

4.3.2 计数器计数模块原理 (13)

4.4 显示器模块 (13)

5. 电路的总体设计与调试 (14)

5.1 总体电路原理图 (14)

5.2 总电路工作原理 (14)

6. 课程设计收获与体会 (15)

7. 参考文献 (15)

本次课程设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计

时器功能,计时器显示0~99计数,在实际生活中应用很广。根据日常生活中观察,数字式计时器设计成型后供扩展的方面很多,例如自动报警、按时自动打铃等。因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。目前,数字计数器的功能越来越强,并且有多种专门的大规模集成电路可供选择。但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。数字计数器包括组合逻辑电路和时序电路。

1. Multisim软件的简介

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。

一、Multisim的主窗口界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。二、菜单栏

菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

三、工具栏

Multisim 提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏,Simulation工具栏。

Multisim特点:直观的图形界面,丰富的元器件,强大的仿真能力,丰富的测试仪器,完备的分析手段,独特的射频(RF)模块,强大的MCU模块,完善的后处理,详细的报告,兼容性好的信息转换。

2. 系统设计总体方案

2.1 设计基本思路

任务书要求利用多种数字逻辑芯片、555定时器和数码管设计一个数字式计

时器电路,并且 要求555定时器电路产生频率为100Hz 的多谐波信号,通过后续电路实现0至99个脉冲的计时功能,电路还应具有计时时间到自动停止和开关重新开始计时功能。

从而完成此课题,可以将这整个计数系统,分为几个模块进行分析。首先是数字逻辑控制模块,通过使用门电路来控制计时器进位及清零。然后是脉冲信号产生模块,由一个振荡电路来产生一个固定频率的脉冲信号,作为计时器的时基信号。再者是计时数计数模块,接收计时及中断信号脉冲,从而控制计数器计数,且有清零功能,该模块选用十进制计数器。最后是译码显示模块,该模块要显示00到99的数字,选用十进制计数器的基础上,通过它们之间的级联,最终显示相应数字,实现计数。

2.2 设计总流程图

图1 设计总流程图

3.555定时器,CD4518和CD4011介绍

3.1 555定时器

555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极型(TTL )工艺制作的称为 555,用 互补金属氧化物(CMOS )工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,

数字逻辑 控制模块 脉冲信号 产生模块

计数器计 数模块 数码管显 示模块

可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。

它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的反相输入端的电压为 2VCC /3,C2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置0,使输出为 0 电平。

它的各个引脚功能如下:

1脚:外接电源负端VSS或接地,一般情况下接地。

2脚:低触发端。

3脚:输出端Vo。

4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

6脚:TH高触发端。

7脚:放电端,该端与放电管集电极相连,用做定时器时电容的放电。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V。

图2 555定时器引脚图

图3 555定时器原理图

3.2 CD4518

CD4518是一个双BCD同步加计数器,由两个相同的同步4级计数器组成。CD4518引脚功能(管脚功能)如下:

图4 CD4518芯片

1CP、2CP:时钟输入端。

1CR、2CR:清除端。

1EN、2EN:计数允许控制端。

Q1A~Q4A:计数器输出端。

Q1B~Q4B:计数器输出端。

Vdd:正电源。

Vss:地。

CD4518是一个同步加计数器,在一个封装中含有两个可互换二/十进制计数器,其功能引脚分别为1~7和9~15,该CD4518计数器是单路系列脉冲输入(1脚或2脚,9脚或10脚),4路BCD码信号输出(3脚~6脚,11脚~14脚)。

CD4518控制功能:CD4518有两个时钟输入端CP和EN,若用时钟上升沿触发,信号由CP输入,此时EN端为高电平(1),若用时钟下降沿触发,信号由EN输入,此时CP端为低电平(0),同时复位端Cr也保持低电平(0),只有满足了这些条件时,电路才会处于计数状态,否则没办法工作。

将数片CD4518串行级联时,尽管每片CD4518属并行计数,但就整体而言已变成串行计数了。需要指出,CD4518未设置进位端,但可利用Q4做输出端。有人误将第一级的Q4端接到第二级的CP端,结果发现计数变成“逢八进一”了。原因在于Q4是在CP8作用下产生正跳变的,其上升沿不能作进位脉冲,只有其下降沿才是“逢十进一”的进位信号。正确接法应是将低位的Q4端接高位的EN端,高位计数器的CP端接VSS。

3.3 CD4011引脚图

图5 CD4011芯片功能图

图6 CD4011引脚图

管脚功能:

1A 数据输入端2A 数据输入端3A 数据输入端4A 数据输入端

1B 数据输入端2B 数据输入端3B 数据输入端4B 数据输入端

1Y 数据输出端2Y 数据输出端3Y 数据输出端4Y 数据输出端

VDD 电源正VSS 地 VDD电压范围:-0.5v to 18v

功耗:双列普通封装 700MW 小型封装 500MW

工作温度范围:CD4011BM -55℃ - +125℃ CD4011BC -40℃ - +85℃X Y Q 动作

0 0 1 禁止

0 1 1 设定

1 0 0 重置

1 1 不变无

表1 CD4011真值表

(1)当X=0、Y=0时,将使两个NAND门之输出均为1,违反触发器之功用,故禁止使用。如真值表第一列。

(2)当X=0、Y=1时,由于X=1导致NAND-A的输出为“1”,使得NAND-B的两个输入均为“1”,因此NAND-B的输出为“0”,如真值表第二列。

(3)当X=1、Y=0时,由于Y=0导致NAND-B的输出为”1”,使得NAND-1的两个输入均为“1”,因此NAND-A的输出为“0”,如真值表第三列。

(4)当X=1、Y=1时,因为一个“1”不影响NAND门的输出,所以两个NAND 门的输出均不改变状态,如真值表第四列。

4. 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块电路图

4.1 数字逻辑控制模块

4.1.1 数字逻辑控制模块电路图

图7 数字逻辑电路

4.1.2 数字逻辑控制模块原理

在点击绿色箭头开始,电容开始充电,此时J1按下时,电阻下端1为低电平,电容下端6为低电平,继而U2B端为低电平;如果此刻按下J2,则4端为低电平,发出脉冲到U2B,而1和6输出低电平到与非门U2A,U2A输出高电平到U2B,此时0和1输入到与非门U2B ,继而U2B输出高电平。

4.2 脉冲信号产生模块

4.2.1 脉冲信号产生模块电路图

图8 脉冲信号产生电路图下图是该频率波形图:

图9 振荡器输出波形图4.2.2 冲信号产生模块原理

振荡器是计时器的核心,振荡器的稳定度和频率的精确度决定了计时器的准确度。

图10 振荡器电路图

接通电源后,电容C3被充电,v C 上升,当v C 上升到大于2/3V CC 时,触发器

被复位,放电管T 导通,此时v 0为低电平,电容C3通过R 2和T 放电,使v C 下降。当v C 下降到小于1/3V CC 时,触发器被置位,v 0翻转为高电平。电容器C3放电结

束,所需的时间为:

C R C R Vcc Vcc C R t 22217.02ln 3/13/2ln ≈=--=

当C3放电结束时,T 截止,V CC 将通过R 1、R 2向电容器C3充电,v C 由1/3V CC 上升到2/3V CC 所需的时为:

()()()C R R C R R Vcc Vcc Vcc Vcc C R R t 21212127.02ln 3/23/1ln +≈+=--+=

当v C 上升到2/3V CC 时,触发器又被复位发生翻转,如此周而复始,在输出端

就得到一个周期性的方波,其频率为:

()C R R t t f 212143.11+≈+=

本设计中,由电路图和f 的公式可以算出,微调R10=10k ,R11=2.21k 左右,其输出的频率为f=100Hz.

4.3 计数器计数模块

4.3.1 计数器计数电路图

图11 计数器电路

4.3.2 计数器计数模块原理

CD4518有两个时钟输入端CP和EN,若用时钟上升沿触发,信号由CP输入,此时EN端为高电平(1),若用时钟下降沿触发,信号由EN输入,此时CP端为低吨平(0),同时复位端Cr也保持低电平(0),将数片CD4518串行级联时,尽管每片CD4518属并行计数,但就整体而言已变成串行计数了,将低位的Q4端接高位的EN端,高位计数器的CP端接USS,电路处于计数状态。

4.4 显示器模块

图12 显示器电路

该数码管接收到计数器的信号,并将其显示出来。此处加了两个1N4148二极管,控制其进位,最大数出值为1001=9,所以该数码管的输出为从0到9。

5. 电路的总体设计与调试

5.1 总体电路原理图

图11 总体电路原理图

5.2 总电路工作原理

由LM555CM芯片组成的产生多谐波信号的多谐振荡器电路,在VCC端给其一个5V直流电源。接通电源后,电容C充电。充电回路是VCC—R1—R2— C —地,当Vc上升到2Vcc/3时,Vo为低电平,同时T导通。此时C通过R2和T放电,放电回路为C—R2—T—地,按指数规律下降,当下降到Vc

端置高电平,4518BD开始累加。但是当开关A没有按下时,逻辑控制电路输出端U2B始终是高电平。

只有当开关J1按下时U2A输出端置1,U2C输出端也置1。U2B为与非门输出低电平,所以4518芯片MR置0,结束清零,相当于解除自锁功能。4518BD开始累加,4518芯片是一种十进制累加芯片。

为了达到0-99计时,直接置U10A、B的A、D端为高电平。通过稳压二极管来起到99后自锁功能。

最后一个要求就是当按下J2以后,则7端为低电平,发出脉冲到U2B,而5和6输出低电平到与非门U2A,U2A输出高电平到U2B,此时0和1输入到与非门U2B ,继而U2B输出高电平,MR收到高电平后清零。此时如果再按下J1可重新开始计时。

6.课程设计收获与体会

通过此次课程设计,使我更加扎实的掌握了有关数字电路方面的知识,掌握了555定时器的原理和使用方法,以及了解数字逻辑芯片的结构和作用,同时掌握Multisim软件的使用方法,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。课程设计的过程虽然比较艰难,但是可以学到很多很多的东西,学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的。

此次设计也让我明白了思路即出路,有什么不懂不明白的地方要及时请教老师同学或上网查询,只要认真钻研,动脑思考,动手实践,就没有弄不懂的知识,收获颇丰。同时,老师的悉心指导给了我很大的帮助,在此非常感谢。

7. 参考文献

1.《Multisim电路仿真技术应用》主编:赵永杰,王国玉,电子工业出版社;

2.《电工学简明教程》秦曾煌编,高等教育出版社出版;

3.《数字电子技术基础教程》夏路易,电子工业出版社;

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。

实验4指导书 555定时器电路设计

实验4 555定时器电路设计 预习内容 阅读《电工电子实验教程》第6.5节中555集成定时器应用的内容。 预习实验的内容,自拟实验步骤和数据表格,完成理论设计,画出原理电路,选择所用元件名称、数量,熟悉元件引脚,手写预习报告。 一、实验目的 1.熟悉集成定时器555的工作原理及应用。 2.熟悉时钟信号产生电路的设计方法。 3.掌握使用定时器555设计多谐振荡器的方法。 二、知识要点 时钟信号在电子电路中有着非常重要的作用,而生成周期时钟信号的方法也有多种。比较常用的方法就是使用555定时器构成多谐振荡器。此电路广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555。555定时器的电源电压范围宽,可在4.5V~16V 工作,7555可在3~18V工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 图5-1 555定时器的结构图和引脚分布图 1脚-GND,接地脚; 2脚-Trigger,低电平触发端; 3脚-Output,输出端; 4脚-Reset,复位端,低电平有效; 5脚-Control V oltage,电压控制端; 6脚-Threshold,阈值输入端; 7脚-Discharge,放电端; 8脚-V CC,电源端。 三、实验内容 题目:时钟信号发生电路设计 设计一个电路,能够产生时钟信号,要求信号频率可调,设计范围不小于500Hz~1000Hz,

555定时器及其应用

实验六 555定时器及其应用 一.实验目的 1.熟悉555定时器的组成及功能。 2.掌握555定时器的基本应用。 3.进一步掌握用示波器测量脉冲波形的幅值和周期。 二.实验原理 555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型

该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A 1、A 2基准电压分别为CC CC V 3 1 ,V 32的情况下,555时基电路的功能表如表6—1示。

输出高电平时间

2)Vi接连续脉冲f = 512HZ,用示波器观察、记录Vi、V2、V C及V O的波形(以Vi为触发信号),测出V O的脉冲宽度t W,且与理论值相比较。 4.设计一个用555定时器构成的方波发生器,要求方波的周期为1ms,占空比为5%。 四.预习要求 1.搞清555定时器的功能和应用 2.理论计算出实验内容1多谐振荡器的输出方波的周期T 3.理论计算实验内容3 中2)输出脉冲宽度t W。 4.搞清图6—5中R1、C1微分电路的作用。V i为连续脉冲,对应地分析、画出V2的波形。 五.思考题 1.用两片555定时器设计一个间歇单音发生电路,要求发出单音频率约为1KHZ,发音时间约为0.5S,间歇时间约为0.5S。 2.图6—4电路中指出电容C充电途径、放电途径。写出振荡周期T和占空比表达式。理论计算出实验内容2、3两种情况下的占空比。 3.图6—5中,设微分电路的输入连续脉冲周期为T i,R1、C1的参数应如何选择? 4.实验内容3中,如果不采用R1、C1微分电路,即V i直接接至定时器的2脚,是否还能得到原来脉冲宽度t w的输出脉冲。 六.实验仪器与器材 1.电子技术实验箱MS-ⅢA型1台 2.直流电源(+5V)DS-2B-12型1台 3.示波器5020B型1台 4.万用表MF-47型1只 5.555定时器1只

基于555定时器闪光电路设计及制作

基于555定时器闪光电路设计与制作 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 本文介绍555定时器的结构、引脚功能以及构成单稳态触发器、多谐振荡器、施密特触发器等电路,进一步掌握集成电路的使用方法,并利用多谐振荡器产生的脉冲信号控制二个发光二极管实现闪光电路。 一、基于555定时器闪光电路功能介绍 每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 本制作套件就是利用555定时器设计的多谐振荡器,进而构成闪光电路,如图1所示。 图1 基于555定时器闪光电路成品图

二、基于555定时器闪光电路原理图 图2 基于555定时器闪光电路原理图 三、基于555定时器闪光电路工作原理 1、可调电阻的特性及用法 可调电阻也叫可变电阻,是电阻的一类,其电阻值的大小可以人为调节,以满足电路的需要。可以逐渐地改变和它串联的用电器中的电流,也可以逐渐地改变和它串联的用电器的电压,还可以起到保护用电器的作用。

图3 可调电阻100K可调范围 电位器是可调电阻的一种,通常是由电阻体与转动或滑动系统组成,即靠一个动触点在电阻体上移动,获得部分电压输出。 电位器的电阻体有两个固定端,通过手动调节转轴或滑柄,改变动触点在电阻体上的位置,则改变了动触点与任一个固定端之间的电阻值,从而改变了电压与电流的大小。

555定时器的典型应用电路

令狐采学创作 555定时器的典型应用电路 令狐采学 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C 是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因ui=H,所以uo=L。当加入触发信号时,ui=L,所以uo=H,7脚内部的放电管关断,电源经电阻R向电容C充电,uC按指数规律上升。当uC上升到2 VCC/3时,相当输入是高电平,555定时器的输出uo=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2VCC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用tW表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为uc(0)=0V,无穷大值uc(∞)=VCC,τ=RC,设暂稳态的时间为t w,当t= tw时,uc(tw)=2 VCC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于 2 VCC/3,低电平必须小于 VCC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电 管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是RA、RB和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 VCC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2VCC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形 震荡周期的确定: 根据uc(t)的波形图可以确定振荡周期,T=T1+T2 先求T1,T1对应充电,时间常数τ1=(RA+RB)C,初始值为uc(0)= VCC/3,无穷大值u c(∞)=VCC,当t= T1时,uc(T1)=2 VCC/3,代入过渡过程公式,可得 T1=ln2(RA+RB)C≈0.7(RA+RB)C 求T2,T2对应放电,时间常数τ2=RBC,初始值为uc(0)=2 VCC/3,无穷大值uc(∞) =0

555定时器的基本应用及使用方法

555定时器的基本应用及使用方法 我们知道,555电路在应用和工作方式上一般可归纳为3类。每类工作方式又有很多个不同的电路。在实际应用中,除了单一品种的电路外,还可组合出很多不同电路,如:多个单稳、多个双稳、单稳和无稳,双稳和无稳的组合等。这样一来,电路变的更加复杂。为了便于我们分析和识别电路,更好的理解555电路,这里我们这里按555电路的结构特点进行分类和归纳,把555电路分为3大类、8种、共18个单元电路。每个电路除画出它的标准图型,指出他们的结构特点或识别方法外,还给出了计算公式和他们的用途。方便大家识别、分析555电路。下面将分别 介绍这3类电路。 单稳类电路 单稳工作方式,它可分为3种。见图示。 第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1 和1.1.2为代号。他们的输入端的形式,也就是电路的结构特点是: “RT-6.2-CT”和“CT-6.2-RT”。

第2种(图2)是脉冲启动型单稳,也可以分为2个不同的单元。他们的输入特点都是“RT-7.6-CT”,都是从2端输入。1.2.1电路的2端不带任何元件,具有最简单的形式;1.2.2电路则带 有一个RC微分电路。 第3种(图3)是压控振荡器。单稳型压控振荡器电路有很多,都比较复杂。为简单起见,我们只把它分为2个不同单元。不带任何辅助器件的电路为1.3.1;使用晶体管、运放放大器等辅助器件的电路为1.3.2。图中列出了2个常用电路。

双稳类电路 这里我们将对555双稳电路工作方式进行总结、归纳。 555双稳电路可分成2种。 第一种(见图1)是触发电路,有双端输入(2.1.1)和单端输入(2.1.2)2个单元。单端比较器(2.1.2)可以是6端固定,2段输入;也可是2端固定,6端输入。 第2种(见图2)是施密特触发电路,有最简单形式的(2.2.1)和输入端电阻调整偏置或在控制端(5)加控制电压VCT以改变阀值电压的(2.2.2)共2个单元电路。

555定时器简单的电路

每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上。一些豪华轿车上,使用单片微型计算机的数量已经达到48个,电子产品占到整车成本的50%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 555定时器可方便地构成单稳态触发器,多谐振荡器,施密特触发器等电路,闪光电路一般是利用多谐振荡器产生的脉冲信号控制而成。 一、电路图如下:

闪光电路原理图1引脚原理图2 分析工作原理的时候,可以对照图1所示,这是一个典型的利用555设计的多谐振荡器,调节可变电阻可以改变输出的振荡信号的频率,信号从3脚输出一个高低电平,控制D1和D2。 当输出高电平的时候,D2亮,D1不亮。当输出低电平的时候,D2不亮,D1亮。总的效果看起来就是闪烁了。

需要制作实物的朋友可以对照图2制作,像这么一个比较简单的电路,可以购买少量的元件,用万能板(洞洞板)焊接而成,当然焊接的时候,需要一定的焊接技术,如果焊接技术不行的朋友,一定要练习焊接技术,我们比较提倡在电子制作过程中采用拖焊技术,具体实物产品,可以参照图3和图4。 二、元件清单如下: 需要制作的朋友,可以到电子市场购买以上元器件,都是非常常用的元器件,容易购买。笔者建议去网上购买,初步估计所有的材料加在一起,价格在5元以内。 三、闪光器实物图 图3 闪光器实物图

图4闪光器背面走线图 在制作的时候,一定要注意555定时器的引脚功能,比如1脚接地,8脚接电源,和普通的DIP集成电路有些不一样,当制作完成的时候,如果LED灯不闪烁,就要检测了,首先检测1脚和8脚电压是否正常,然后再检测4脚电压是否正常,2脚和6脚是否已经连在一起来,如果这些都正常了,故障基本会被排除了。

最新555定时器及基本应用汇总

555定时器及基本应 用

毕业论文 论文题目 555定时器及其基本应用 系别物电系 专业物理教育 班级 08级物理教育班 学号 130809066 姓名李小沙 指导教师袁乐民 二O一一年五月一日

555定时器及基本应用 摘要:555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为 7555,除单定时器外,还有对应的双定时器556/7556。555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA,7555可在3~18V工作,最大负载电流可达4mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 关键词:555定时器,施密特触发器,多谐振荡器,单稳态触发器引言:随着电子技术的发展,尤其是消费类电子的日益普及,555定时器的使用量也在飞速增长。在购买和使用555定时器时,人们对555定时器的性能要求也逐渐提高。555定时器最重要的两个性能为电池的容量和电池的内阻,电池容量与电池内阻存在密切的关系。一般而言, 电池的容量越大, 内阻就越小。电池内阻的大小及其变化可反应电池内部的变化。电池内阻大,电池放电电压平台低,电池输出功率小,电池充电时电压高,高倍率快速充电时,电池会产生大量的热,使充电效率降低,降低电池性能。可见电池内阻的大小是衡量电池性能好坏的重要指标, 准确测量电池内阻具有重要意义。目前,测量电池内阻的方法主要有加载降压法、短路电流法、电桥法、交流电流法、双量程测量法、电位差计法等。这些方法各有利弊, 普遍问题是测量步骤较繁琐, 有些测量方法存在着不可忽视的测量误差, 甚至某些测量方法(因电池放电时间过长等)对电池的寿命有一定影响。本文将以论证的方式介绍一种较容易、准确测量电池内阻和电池容量的方法。 一、 555定时器简介

555定时器的原理及三种应用电路

实验10 555定时器的原理及三种应用电路 「、实验目的 (1) 掌握555定时器的电路结构、工作原理。 (2) 熟悉555定时器的功能及应用。 :■、实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 CB555定时器;100Q ~100k Q电阻;0.01~100卩F电容;1k Q和5k Q电位器; 发光二极管或蜂鸣器。 三、实验内容 (1)按图2-10-3连接施密特触发器电路,分别输入正弦波、锯齿波信号,观察并记录输出输入波形。 1?实验原理 当输入电压《::」V cc时,=V TR:::'CC V。为高电平 3 3 1 2 当-V cc : V i:-时,乂保持高电平。 3 3 2 2 当V i ?—V CC,V TH -V TR -V cc 时,V o 为低电平。 3 3 1 2 V由大变小时,即-v cc : V :-时,V)保持低电平。 3 3 一旦V「:-V cc,则V o又回到高电平。 3 2?仿真电路如图:

3?实验结果: 输入正弦波: 输入锯齿波:

(2)设计一个驱动发光二极管的定时器电路,要求每接收到负脉冲时,发光管持续点亮秒后熄灭。 2 1?实验原理: 由555定时器构成单稳态触发器,由单稳态触发器的功能可知,当输入为一个负脉冲 时,可以输出一个单稳态脉宽T W,且T W=1.1RC。所以想要使发光二极管接收到负脉冲时, 持续点亮2S,即要使T W=2S所以,需选定合适的R、C值。选定R、C时,先选定C的值 为100uF,然后确定R的值为18.2k Q。 2.仿真电路如图: 波形图为:

若是1秒或者是5秒。只需改变R 与C 的大小,使得脉冲宽度 T=1.1RC 分别为1或是5 即可。1 秒时: C=1OOuF, R=9.1k Q 5 秒时:C=1OOuF , R=45.5k Q 。 (3) 按图 2-10-7连接电路,取 R 仁1k Q , R2=10k Q ,C 仁0.1卩F,C2=0.01卩F ,观察、记录 V Cr 、V O 的同步波形,测出 V 。的周期并与估算值进行比较。改变参数 R1=15k Q , R2=10k Q ,C1=0.033卩F,C2=0.1卩F ,用示波器观察并测量输出端波形的频率。 经与理论估算值比较, 算出频率的相对误差值。 1?实验原理 555定时器构成多谐振荡器。 1 当加电后,V cc 通过R |,R 2 对R 充电,充电开始时V Cr =V TH =V TR £-V cc ,所以 V O =1。 3 1 2 当V Cr 上升到-V cc

器件实验报告八—555集成定时器及其应用

555集成定时器及其应用实验报告 一、实验内容与目的 1.单稳态触发器功能的测试,对于不同的外界元件参数,测定输出信号幅度和暂稳时间。 2.多谐振荡器功能的测试与验证,给定一个外界元件,测量输出波形的频率、占空比,并且计算理论值,算出频率的相对误差。 实验仪器: 自制硬件基础电路实验箱,双踪示波器,数字万用表,集成定时器NE555 2片;电阻100kΩ、10kΩ各2只;51kΩ、5.1kΩ、4.7kΩ各1只;电容30μF、10μF、0.1μF、2200pF各1只;电位器100kΩ1只; 元器件:LM555。 二、实验预习内容: 本实验旨在了解555定时器的内部结构和工作原理:单稳态触发器、多谐振荡器的工作原理。 实验资料: (1)构成单稳态触发器 电路如下图所示,接通电源→电容C充电(至2/3Vcc)→RS触发器置0→Vo =0,T导通,C放电,此时电路处于稳定状态。当2加入VI<1/3Vcc时,RS触发器置1,输出Vo=1,使T 截止。电容C开始充电,按指数规律上升,当电容C 充电到2/3Vcc时,A1翻转,使输出Vo=0。此时T又重新导通,C很快放电,暂稳态结束,恢复稳态,为下一个触发脉冲的到来作好准备。其中输出Vo脉冲的持续时间tw=1.1RC,一般取R=1kΩ--10MΩ,C>1000PF,只要满足VI的重复周期大于tp0 ,电路即可工作,实现较精确的定时。 (2) 多谐振荡器 电路如下图所示,电路无稳态,仅存在两个暂稳态,亦不需外加触发信号,即可产生振荡(振荡过程自行分析)。电容C在1/3Vcc--2/3Vcc之间充电和放电,输出信号的振荡参数为:

周期T=0.7 C(R1+2R2) 频率f=1/T=1.44/(R1+2R2)C, 占空比D=( R1+R2 )/( R1+2R2)。 555电路要求R1与R2 均应大于或等于1kΩ ,使R1+R2 应小于或等于3.3MΩ。 三、实验过程与数据分析 1.单稳态触发器逻辑功能的测试。 连接电路如下:

555定时器温度控制电路设计要点

内容摘要 在日常的生产与生活中,温度是一个非常重要的过程变量,因为它直接影响燃烧、化学反应、发酵、烘烤、煅烧、蒸馏、浓度、挤压成形、结晶以及空气流动等物理和化学过程。所以人们需要用到良好的温度检测及控制装置系统来解决这些问题。本文介绍了采用A/D转换、555定时器、AT89C51芯片以及DS1620温度传感器等组成的温度控制系统的设计方法和工作原理。能够通过传感器对温度的感应自动调节加热功率的大小,并且在解决温度检测的基础上,通过555定时器完成对温度的特殊控制。 本设计应用性比较强,设计系统可以作为温度监控系统,如果稍微改装可以做热水器温度调节系统、实验室温度监控系统等等。课题主要任务是完成环境温度检测,利用单片机实现温度调节并通过计算机实施温度监控。设计后的系统具有操作方便,控制灵活等优点。 本设计系统包括温度传感器,A/D转换模块,温度传感器模块,和555定时器,AT89C51芯片等。文中对每个部分功能、实现过程作了详细介绍。整个系统的核心是以555定时器进行温度监控,完成了课题所有要求。 索引关键词:自动控制系统温度传感器 MCS-51 555定时器

目录 第一章绪论 (1) 1.1研究温度控制系统的意义 (1) 1.2 温度控制系统中传感器 (1) 1.3 温度控制系统设计要点 (1) 1.4 温度控制系统设计内容 (1) 第二章硬件系统的构成 (2) 2.1 AT89C51概况 (2) 2.2功能特性概述 (2) 2.3引角功能说明 (2) 2.4时钟振荡器 (4) 2.5空闲节电模式 (4) 2.6掉电模式 (4) 2.7传感器概述 (4) 第三章数字温度测控芯片DS1620的应用 (4) 3.1 概述 (4) 3.2 引脚功能说明 (5) 3.3 操作和控制 (6) 3.4 DS1620有两种操作模式 (6) 3.5 555定时器概述 (8) 3.6 电路图 (10) 后记 (11) 参考文献 (12)

电子技术实验报告8—555定时器及其应用

学生实验报告 系别电子信息学院课程名称电子技术实验 班级10通信A班实验名称实验八 555定时器及其应用 姓名葛楚雄实验时间2012年5月30日 学号20指导教师文毅 报告内容 一、实验目的和任务 1.熟悉555型集成时基电路的电路结构、工作原理及其特点。 2.掌握555型集成时基电路的基本应用。 二、实验原理介绍 555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。它的内部电压标准使用了三个5K的电阻,故取名555电路。其电路类型有双极型和CMOS型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器,556和7556是双定时器。双极型的电压是+5V~+15V,最大负载电流可达200mA,CMOS型的电源电压是+3V~+18V,最大负载电流在4mA以下。 1、555电路的工作原理 555电路的内部电路方框图如图20-1所示。它含有两个电压比较器,一个基本RS触发器,一个放电开关Td,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使低电平比较器Vr1反相输入

端和高电平比较器Vr2的同相输入端的参考电平为2/3VCC和1/3VCC。Vr1和Vr2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过2/3VCC时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于1/3VCC时,触发器置位,555的3脚输出高电平,同时充电,开关管截止。 R是异步置零端,当其为0时,555输出低电平。平时该端开路或接VCC。Vro是控制电压端(5脚),D 平时输出2/3VCC作为比较器Vr1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。Td为放电管,当Td导通时,将给接于脚7的电容器提供低阻放电电路。 2、555定时器的典型应用 (1)构成单稳态触发器 上图20-2为由555定时器和外接定时元件R、C构成的单稳态触发器。D为钳位二极管,稳态时555电路输入端处于电源电平,内部放电开关管T导通,输出端Vo输出低电平,当有一个外部负脉冲触发信号加到Vi端。并使2端电位瞬时低于1/3VCC,单稳态电路即开始一个稳态过程,电容C开始充电,Vc按指数规律增长。当Vc充电到2/3VCC时,输出Vo从高电平返回低电平,放电开关管Td重新导通,电容C上的电荷很快经放电开关管放电,暂态结束,恢复稳定,为下个触发脉冲的来到作好准备。波形图见图20-3。

555定时器及其应用

9.1 图题9.1是用两个555定时器接成的延时报警器。当开关S 断开后,经过一定的延迟时间后,扬声器开始发声。如果在延迟时间内开关S 重新闭合,扬声器不会发出声音。在图中给定参数下,试求延迟时间的具体数值和扬声器发出声音的频率。图中G 1是CMOS 反相器,输出的高、低电平分别为V OH =12V ,V OL ≈0V 。 (+12V) 图题9.5 解:1.工作原理: 图题9.1由两级555电路构成,第一级是施密特触发器,第二级是多谐振荡器。施密特触发器的输入由R 1、C 1充放电回路和开关S 控制,当S 闭合时,V C =0V ,施密特触发器输出高电平。施密特触发器的输出经反相器去控制多谐振荡器的R D 端,当施密特触发器的输出为高电平时,R D =0,多谐振荡器复位,扬声器不会发出声音。当开关S 断开 后,R 1、C 1充放电回路开始充电,V C 随之上升,但在达到CC T 32 V V =+之前,施密特触 发器的输出仍为高电平时,R D =0,扬声器仍不会发出声音。这一段时间即为延迟时间。 一旦V C 达到CC T 32 V V =+,施密特触发器触发翻转,输出低电平,R D =1,多谐振荡器工 作,扬声器开始发声报警。 2.求延迟时间: 延迟时间由R 1、C 1充放电回路的充电过程决定: τ t e v v v v -+ ∞-+∞=)]()0([)(C C C C 将 V 12)(CC C ==∞V v )0(C +v =0V τ=R 1C 1代入上式,得: )1(1 1CC C C R t e V v --= t=t 1时,CC C 3 2 V v =代入上式,整理得延迟时间: t 1= R 1C 1ln3≈1.1 R 1C 1=1.1×106+10×10-6=11S 扬声器发声频率:MHz 95.01001.010157.01 )2(7.016 3232≈????=+= -C R R f

555定时器的典型应用

555定时器的典型应用及OrCAD/PSpice仿真 时间:2009-12-05 01:00来源:本站整理作者:admin 点击:129次 555定时器的典型应用及OrCAD/PSpice仿真 滕政胜,黄铭(1.百色学院科研处广西百色;2.云南大学信息学院云南昆明) 引言 555定时器是一种将模拟功能与数字(逻辑)功能紧密结合在一起的中小规模单 片集成电路。它功能多样,应用广泛,只要外部配上几个阻容元器件即可构成单稳态触发器、施密特触发器、多谐振荡器等电路,是脉冲波形产生与变换的重要元器件,广泛应用于信号的产生与变换、控制与检测、家用电器以及电子玩具等领域。 OrCAD/PSpice作为国际上著名的电子设计自动化软件之一,具有仿真速度快、精度高等优点,不仅可以用于电路分析和优化设计,与印制版设计软件配合使用,还可实现电子设计自动化,被公认是通用电路模拟程序中最优秀的软件之一。例如:基于该软件,Essakhi等人提出了一种微波整流天线的时域模型;Du等人提出了一种从三维时域场分析提取S参数的方法;Zhang等人仿真了E类功率放大器的特性,并进行了实验证实;Sakuta等人分析了低相位噪声振荡器的特性,并计算了有载Q值;Hayahara等人设计了△-∑A/D转换器,并对其信噪比进行了仿真;Brecl等人提出了一维、二维薄膜模型,并模拟了其接触电阻。这些表明,软件OrCAD/PSpice是现代电子电路设计的有利工具。 本文以OrCAD/PSpice 10.5为工具,对555定时器构成的三种典型电路进行仿真分析,得出了一些有价值的结论。 1555定时器组成框图及工作机理 555定时器的图形符号及管脚图如图1所示,其中管脚1是公共端,管脚2为触发端,管脚3为输出端,管脚4为复位端,管脚5是控制电压输入端,管脚6 为阈值端,管脚7是内部三极管的放电端,管脚8是电源端。

数电课程设计 555定时器

课程设计说明书 名称555定时器声光报警电路 2011年12月12日至2011年12月16日共1 周 院系 班级 姓名 系主任 教研室主任 指导教师

目录 第一章绪论 (2) 第二章主要元器件原理及相关计算 (3) 2.1 测量值 (3) 2.2.主要元器件介绍 (3) 2.2.1 555定时器 (3) 2.2.2 555定时器的电路结构及其功能 (4) 2.2.3 555定时器的应用分类 (5) 2.3电位器 (5) 2.3.1电位器的作用及特点 (5) 2.4蜂鸣器 (6) 2.4.1蜂鸣器的结构原理 (6) 2.5 发光二极管 (6) 2.6 相关性能指标计算 (7) 第三章 555定时器声光报警电路设计 (8) 3.1 硬件组成 (8) 3.2 电路原理图 (8) 3.3 印刷板电路图 (8) 3.4 555定时器声光报警电路原理 (9) 3.5 性能指标要求 (9) 第四章焊接及调试过程和注意点 (10) 4.1安装及焊接步骤 (10) 4.1.1查找资料 (10) 4.1.2焊接 (10) 4.2调试及调试的波形 (11) 4.2.1焊接好后的成品图 (11) 4.2.2实验波形 (12) 第五章心得体会 (13) 参考文献 (13)

第一章绪论 555定时器是一种结构简单、使用方便灵活、用途广泛的多功能电路。只要外部配接少数几个阻容元件便可组成施密特触发器、单稳态触发器、多谐振荡器等电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555定时器是美国Signetics公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名555定时器的电压范围宽,双极型555定时器为5~16 V,CMOS 555定时器为3~18 V。可提供与TTL及CMOS数字电路兼容的接口电平。555定时器还可以输出一定的功率,可驱动微电机、指示灯、扬声器等。它在脉冲波形的产生与变换、仪器与仪表、测量与控制、家用电器与电子玩具等领域都用着广泛的应用。 TTL单定时器型号的最后3位数为555,双定时器的为556;CMOS但定时器的最后4位数为7555,双定时器的为7556.它们的逻辑功能和外部引线排列完全相同。 555定时器可以说是模拟电路与数字电路结合的典范。 555定时器声光报警电路是一种防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警的一种装置。 555定时器声光报警电路是利用两个555定时器组成的振荡电路,实现异步工作,使两个振荡器间隙振荡,这样蜂鸣器就会发出间隙的声响,发光二极管闪烁。

555定时器的结构和工作原理

13.1 555定时器的结构和工作原理本节重点: (1)脉冲的基本知识 (2)555电路的组成结构和工作原理 (3)555芯片引脚图 (4)555电路功能表 (5)555电路的典型应用 本节难点: (1)555的内部电路组成和工作原理 (2)555电路的典型应用 引入:555定时器电路是一种中规模集成定时器,目前应用十分广泛。通常只需外接几个阻容元件,就可以构成各种不同用途的脉冲电路,如多谐振荡器、单稳态触发器以及施密特触发器等。555定时电路有TTL集成定时电路和CMOS集成定时电路,它们的逻辑功能与外引线排列都完全相同。双极型产品型号最后数码为555,CMOS型产品型号最后数码为7555。 一、555电路的结构组成和工作原理 (1)电路组成及其引脚

(2)555的工作原理 它含有两个电压比较器,一个基本RS 触发器,一个放电开关T ,比较器 的参考电压由三只5K Ω的电阻器构成分压,它们分别使高电平比较器C1同相比 较端和低电平比较器C2的反相输入端的参考电平为Vcc 32和Vcc 3 1 。C1和C2的 输出端控制RS 触发器状态和放电管开关状态。当输入信号输入并超过Vcc 3 2 时, 触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信 号自2脚输入并低于Vcc 3 1 时,触发器置位,555的3脚输出高电平,同时放电, 开关管截止。 D R 是复位端,当其为0时,555输出低电平。平时该端开路或接Vcc 。 Vco 是控制电压端(5脚),平时输出Vcc 3 2 作为比较器A1的参考电平,当5 脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01F μ的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电电路. (3)555电路的引脚功能 二、555电路的应用 (1)用555电路构成施密特触发器

555定时器_电子课程设计解析

目录 摘要 (2) 1. Multisim软件的简介 (4) 2. 系统设计总体方案 (5) 2.1 设计基本思路 (5) 2.2 设计总流程图 (6) 3. 555定时器,CD4518和CD4011介绍 (7) 3.1 555定时器 (7) 3.2 CD4518 (10) 3.3 CD4011引脚图 (12) 4. 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块电路图 (14) 4.1 数字逻辑控制模块 (14) 4.1.1 数字逻辑控制模块电路图 (14) 4.1.2 数字逻辑控制模块原理 (14) 4.2 脉冲信号产生模块 (15) 4.2.1 脉冲信号产生模块电路图 (15) 4.2.2 冲信号产生模块原理 (16) 4.3 计数器计数模块 (17) 4.3.1 计数器计数电路图 (17) 4.3.2 计数器计数模块原理 (18) 4.4 显示器模块 (18) 5. 电路的总体设计与调试 (19)

5.1 总体电路原理图 (19) 5.2 总电路工作原理 (19) 6. 课程设计收获与体会 (20) 7. 参考文献 (21) 摘要 本次课程设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器功能,计时器显示0~99计数,在实际生活中应用很广。根据日常生活中观察,数字式计时器设计成型后供扩展的方面很多,例如自动报警、按时自动打铃等。因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。目前,数字计数器的功能越来越强,并且有多种专门的大规模集成电路可供选择。但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。数字计数器包括组合逻辑电路和时序电路。

555定时器声光报警器设计

555定时器声光报警电路 学院名称计算机科学学院 专业计算机科学与技术 班级 2012级计算机科学与技术本科班 甘肃政法学院 2013年12 月3日

目录 绪论 (1) 第1章原理分析 (2) 1.1 原理图 (2) 1.2 能指标要求 (2) 1.3 电路整体分析 (2) 1.4 多谐振荡器电路 (3) 1.4.1电路构成 (3) 1.4.2 电路的工作原理 (3) 第2章器件说明与分析 (4) 2.1 555定时器 (4) 2.1.1结构图和管脚排列图 (4) 2.1.2组成 (5) 2.1.3 各个引脚功能 (6) 2.1.4逻辑功能 (6) 2.2 电位器 (7) 2.3 发光二极管 (7) 2.4 蜂鸣器 (7) 第3章焊接及成果 (8) 3.1 安装及焊接步骤 (8) 3.2 调试 (8) 3.3 焊接注意点 (9) 第4章实验总结......................................................................................... 错误!未定义书签。附录 .. (9) 参考文献......................................................................................................... 错误!未定义书签。

绪论 555定时器是美国Signetics公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名。此电路后来竟风靡世界。目前,流行的产品主要有4个:BJT两个:555,556(含有两个555);CMOS两个:7555,7556(含有两个7555)。555定时器可以说是模拟电路与数字电路结合的典范。 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器声光报警电路是一种防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警的一种装置。 555定时器声光报警电路是利用两个555定时器组成的振荡电路,实现同步工作,使两个振荡器同步振荡,这样蜂鸣器就会发出间隙的声响,发光二极管同时闪烁。

555定时器内部框图及电路工作原理【最新】

555定时器内部框图及电路工作原理 本文介绍555定时器内部框图及电路工作原理: 555定时器内部框图 555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。它的内部电压标准使用了三个5K的电阻,故取名555电路。其电路类型有双极型和CMOS型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器,556和7556是双定时器。双极型的电压是+5V~+15V,输出的最大电流可达200mA,CMOS型的电源电压是+3V~+18V。 图8-1 555定时器内部框图 555电路的工作原理 555电路的内部电路方框图如图8-1所示。它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的 参考电平为和。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过时,触发器复位,555的输出端3脚输出 低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。 是复位端,当其为0时,555输出低电平。平时该端开路或接VCC。

Vc是控制电压端(5脚),平时输出作为比较器A1的参考电平,当 5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路。 555定时器的典型应用 (1)构成单稳态触发器 图8-2 555构成单稳态触发器 上图8-2为由555定时器和外接定时元件R、C构成的单稳态触发器。D为钳位二极管,稳态时555电路输入端处于电源电平,内部放电开关管T导通,输出端Vo输出低电平,当有一个外部负脉冲触发信号加到Vi端。并使2端电位瞬时 低于,低电平比较器动作,单稳态电路即开始一个稳态过程,电容C开始 充电,Vc按指数规律增长。当Vc充电到时,高电平比较器动作,比较器 A1翻转,输出Vo从高电平返回低电平,放电开关管T重新导通,电容C上的电荷很快经放电开关管放电,暂态结束,恢复稳定,为下个触发脉冲的来到作好准备。波形图见图8-3。

相关文档
最新文档