基于单片机的数据采集系统

基于单片机的数据采集系统
基于单片机的数据采集系统

基于单片机的数据采集系统

摘要:本文以AT89C51单片机为核心,设计一个基于单片机的数据采集系统。系统可以采集16路模拟量,精度为12位,16路开关量和2路脉冲量,并将采集到的数据每隔一分钟通过串口发送到PC机。

关键字:AT89C51;数据采集;串口通讯

1 概述

在工业控制系统中,除了控制单元和执行单元外,还必须有反馈环节。在反馈环节中,最重要的就是对数据的采集。一般的工业控制中,数据有很多种形式,最常见的有电流电压的模拟量、以二进制形式输入的开关量以及以脉冲形式输入的脉冲信号。

本文设计一数据采集系统系统,系统以AT89C51单片机为核心,A T89C51是一个低电压,高性能CMOS 8位单片机,片内含4k bytes的可反复擦写的Flash只读程序存储器和128 bytes 的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元。AT89C51是一个低功耗高性能单片机,40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,2个16位可编程定时计数器,1个全双工串行通信口[1],AT89C51可以按照常规方法进行编程,也可以在线编程,这给开发带来方便。

如图1所示为设计的系统原理框图。系统选择一个串行的A/D转换芯片,用模拟开关作扩展,两个模拟开关即可扩展成16路的模拟量输入。开关量的采集可以直接用单片机的I/O,用两个并口即可完成16路的开关量采集。如图所示,AT89C51单片机还有两个外部中断接口,即可用来测量脉冲量的输入,采集其输入频率。单片机可以通过串口与上位PC机进行通讯,把采集到的数据发送到上位机上。

图1 系统原理图

2 硬件电路设计

系统硬件可以分成四个部分,分别为模拟量采集电路、开关量采集电路、脉冲量采集电路以及单片机与PC的串口通讯电路。下面分别作简单的介绍。

2.1 模拟量采集电路

模拟量的采集要用到A/D转换芯片,而且精度为12位,这里选择MAX1241A/D转换器。MAX1241是一种低功耗、低电压的12位逐次逼近型ADC,最大非线性误差小于1LSB,转换时间9ms,内置快速采样/保持电路。MAX1241采用三线式串行接口,与单片机的接线简单,如图2所示[3]。图中两个电容是去藕电容,用以对电源进行滤波。Vi是采集电压的输入端,Vref是A/D转换器的参考电压。一般情况下可以接单片机的电源。

图2MAX1241与单片机的接线图

系统要求对16路的模拟量进行采集,因此,只有一个A/D转换器就不够的,但如果用多个A/D转换器一方面会造成资源浪费,成本加大,另一方面单片机的I/O也不够,因此这里用两个8路模拟开关进行扩展,扩展成16路。如图1的原理图所示,系统选用两个CD4051模拟开关[3],并用单片机的四个I/O口控制两个模拟开关的通道选择端,在采集过程中,选择不同的通道进行数据采集。

2.2 开关量采集电路

对开关量的采集电路相对比较简单,因为开关量的状态只有0和1两种,所以只要用两个单片机的并口就可以了,这里用的是P0口和P2口。但是有一点需要说明的是:由于AT89C51单片机的P0口内部没有上拉电阻,所以在电路中必须加入外部上拉电阻,阻值可以是4.7K~10K。而P2口内部自带上拉电阻,所以不需外加上拉电阻。采集输入电路如图1系统原理图所示。

2.3 脉冲量采集电路

脉冲量信号是周期变化的信号,A T89C51单片机有两个外部中断INT0和INT1接口,利用这两个外部中断输入接口,可以对脉冲量信号进行测量,脉冲信号可以直接送到单片机的外部中断接口,也可以进行适当的整形后再送到单片机的外部中断接口,如加入带施密特功能的反向器74LS14。也可以用比较器进行整形,这样使单片机的采集测量更加可靠,更加精

确。

2.4 通讯电路

该系统没有设计数据的显示系统,所以把采集到的数据发送到上位PC机上,与PC进行通讯。因为单片机与PC机的电平是不一样的,因此两者要进行数据交换,必须采用相应的电平转换电路。用得最多的就是RS232通讯方式,采用MAX232芯片即可完成。简单的电路如图3所示。

图3通讯电路

3 软件设计

根据设计要求,按照实现功能的分类,软件部分主要完成四项任务:系统初始化、数据采集、数据处理、向PC机发送数据。在数据处理中,需要进行大量浮点数的乘除法和正弦、余弦运算,因此数据处理部分采用C语言编写,在Keil环境中模块化编程,充分利用C语言强大的计算能力。在数据采集部分中,MAX1241对时序要求比较严格,采用汇编语言实现,所有功能模块均为独立的C文件或ASM文件。而实现C语言和汇编语言的混合编程[2]是软件设计中的难点和关键之一。在设计中,汇编语言采用单独的文件,通过寄存器传递函数参数。程序流程图如图4所示。

这里给出MAX1214的控制程序,是用汇编语言编写,控制子程序完成一次A/D转换和输入,输入数据存放于R0,R1寄存器。

;寄存器及端口定义:

CS:BIT P1.0 ;片选信号位

DOUT:BIT P1.2 ;串行数据输入位

SCLK:BIT P1.1 ;驱动时钟位

DA TA_BH:EQU R0 ;高字节数据存储单元

DA TA_BL:EQU R1 ;低字节数据存储单元

CONT_H:EQU R0 ;高位取数计数器

CONT_L:EQU R1 ;低8位取数计数器SADC_R:XRL A,A ;清A

MOV CONT_H,#04H ;高8位计数

MOV CONT_L,#08H ;低8位计数

CLR SCLK ;SCLK置“0”

CLR CS ;选中1241,启动转换SADC END:JNB DOUT,SADC_ END ;检测A/D转换结束READ_H:SETB SCLK ;

CLR SCLK ;产生一个驱动时钟MOV C,DOUT ;输入一位数据

RLC A ;数据移位至ACC.0 DJNZ CONT_H,READ_H ;高4位输入结束判别MOV DATA_BH,A ;高4位数据送寄存器READ_L:SETB SCLK

CLR SCLK ;产生一个驱动时钟MOV C,DOUT ;输入一位数据

RLC A ;数据移位置ACC.0 DJNZ CONT_L,READ_L ;低8位输入结束判别MOV DATA_BL,A ;低8位数据送寄存器SETB SCLK

CLR SCLK ;清DOUT输出

SETB CS ;撤消片选

RET

图4软件程序流程图

4 结束语

该系统以AT89C51单片机为核心,可以对16路模拟量、16路数字开关量和两路脉冲量进行采集,模拟量采集精度达到12位,在实际应用中,可以对电压信号进行直接采集,如果要对传感器的标准电流量进行采集时,还需加入采样电阻,把电流转换成电压后进行采集。对采集的脉冲量的频率取决于单片机的时钟频率,一般不能高于单片机的运行时钟,如单片机用12MHz的晶振,则采集的脉冲频率只能低于12MHz。本系统在实际应用中已调试通过,采集的数据精度高,速度快。

参考文献

[1]孙涵芳.单片机原理及应用(修订版)北.京航空航天大学出版社,1996年.

[2]马忠梅.单片的C语言应用程序设计(第三版).北京航空航天大学出版社,2003年.

[3]于海生.微型计算机控制技术.北京:清华大学出版社,1999年.

信息采集系统解决方案

信息采集系统解决方案

信息采集系统解决方案 1系统概述 信息采集是信息服务的基础,为信息处理和发布工作提供数据来源支持。信息数据来源的丰富性、准确性、实时性、覆盖度等指标是信息服务的关键一环,对信息服务质量的影响至关重要。针对交通流信息数据,包括流量、速度、密度等,目前主要是基于微波、视频、地磁等固定车辆检测器以及浮动车等移动式车辆检测器进行采集,各种采集方式都存在响应的利弊。针对车驾管以及出入境数据,包括车辆信息、驾驶人信息、出入境办证进度信息等,主要是通过和公安相关的数据库进行对接,此类信息将在信息分析处理系统进行详细介绍。 针对目前交通信息来源的多样性以及今后服务质量水平发展对信息来源种类扩展要求,需要建设一套统一的,具备良好兼容性和前瞻性的交通信息统一接入接口。一方面,本期项目的各种交通信息来源可以使用该接口进行数据接入,另一方面,当新的或第三方的交通信息来源需要加入到本系统中来时,可以使用该接口进行数据接入,不需要再次投入资源进行额外开发。 统一接入接口建成后,根据各种数据来源系统的网络环境、系统技术特性和交通流信息数据特点,开发相应的交通信息数据对接程序,逐一完成微波采集系统、浮动车分析系统、人工采集等来源的交通信息数据采集接入。 2系统架构及功能介绍 2.1统一接入接口 统一接入接口的建设的关键任务包括接口技术规范制定、路网路段编码规则约定及交通信息数据结构约定等多个方面。

2.1.1接口技术规范 一方面由于本系统接入的交通信息数据来源多样,开发语言和系统运行的环境均存在差异,不具备统一的技术特性;另一方面,考虑到以后可能需要接入更多新的或第三方的信息系统作为数据来源,应当选择较成熟和通用的接口实现技术作为本项目的交通流信息采集统一接入接口实现技术。 根据目前信息系统建设的行业现状,选择Web Service和TCP/UDP Socket 作为数据传输接口的实现技术是较优的选择。Web Service和TCP/UDP Socket 具有实时性强、通用性强、应用广泛、技术支持资源丰富等优势,可以实现跨硬件平台、跨操作系统、跨开发语言的数据传输和信息交换。 项目实施时需要根据现有的信息采集系统的技术特点来具体分析,以选定采用Web Service或TCP/UDP Socket作为接口实现技术,必要时可以两种方式并举,提供高兼容度的接口形式。 为了保护接入接口及其数据传输的安全性,避免恶意攻击访问,避免恶意数据窃取,可以使用身份认证、加密传输等技术来加以保证。 统一数据采集接口的工作流程可以如下进行:

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

毕业设计--基于单片机的数据采集系统的设计

存档日期:存档编号: 本科生毕业设计(论文) 论文题目:基于单片机的数据采集系统的设计 姓名: 学院: 专业: 班级、学号: 指导教师:

摘要 本文介绍了基于单片机的数据采集的硬件设计和软件设计,数据采集系统是模拟域与数字域之间必不可少的纽带,它的存在具有着非常重要的作用。 本文介绍的重点是数据采集系统,而该系统硬件部分的重心在于单片机。数据采集与通信控制采用了模块化的设计,数据采集与通信控制采用了单片机AT89C52来实现,硬件部分是以单片机为核心,还包括A/D模数转换模块,显示模块和串行接口部分。 本系统下位机负责数据采集并应答主机的命令。8路被测电压通过模数转换器ADC0809进行模数转换,实现对采集到的数据进行模拟量到数字量的转换,并将转换后的数据通过串行口RS-485传输到上位机,由上位机负责数据的接受、处理和显示,并用LED数码显示器来显示所采集的结果。软件部分应用VC++编写控制软件,对数据采集系统、模数转换系统、数据显示、数据通信等程序进行了设计。 关键词:数据采集AT89C52ADC0809RS-485

Abstract This article describes the hardware design and software design of the data on which based on signal-chip microcomputer .The data collection system is the link between the digital domain and analog domain. It has an very important function. The introductive point of this text is a data to collect the system. The hardware of the system focuses on signal-chip microcomputer .Data collection and communication control use modular design. The data collected to control with correspondence to adopt a machine8051to carry out. The part of hardware’s core is AT89C52, is also includes A/D conversion module, display module, and the serial interface. Slave machine is responsible for data acquisition and answering the host machine.8roads were measured the electric voltage to pass the in general use mold-few conversion of ADC0809,the realization carries on the conversion that imitates to measure the numeral to measure towards the data that collect .Then send the data to the host machine through RS-485,the host machine is responsible for data and display, LED digital display is responsible display the data. The software is partly programmed with VC++. The software can realize the function of monitoring and controlling the whole system. It designs much program like data-acquisition treatment,data-display and data-communication ect. Keyword:data acquisition AT89C52ADC0809RS-485

10数据采集系统的结构形式

5.数据采集系统的结构形式 常见的数据采集系统主要有以下几种结构形式。 ⑴每个通道具有独立的S/H和A/D的采集系统。 图3-13 单通道独立S/H和A/D型 这种系统的结构形式如图3-13所示,图中,S/H为采样保持电路,A/D为模数转换电路,I/O为输入-输出接口电路。由图可见,每个S/H、A/D和I/O组成一个信号采集通道。也就是说,每个通道具有独立的S/H和A/D。 图3-13所示的数据采集系统,采集信号的速度快,主要用于高速数据采集和同步性要求较高的场合。该系统采集后各通道数据是完整的,有利于分析各个通道信号的相关关系。 这种类型数据采集系统的缺点是成本高。 ⑵多通道分时共享S/H和A/D的采集系统 这种系统的电路结构如图3-14所示,图中,MUX为多路模拟开关。由图可见,在这种采集系统中,只有一个采样-保持电路和模数转换电路,采取分时共享的方法,实现多通道采集。各通道的采集和转换时间,取决于模拟开关和A/D转换器的工作时间。由于采集的信号是通过模拟多路开关轮流切换送入S/H和A/D电路,所以被测信号是断续的,对实时测量会引起误差。 这种电路结构适合于缓慢信号的测量,也可通过加置多路模拟开关(MUX),来扩展通道数。另外,这种电路结构简单,使用的芯片数少。 图3-14多通道分时共享S/H和A/D型

⑶多通道共享A/D的数据采集系统 图3-15 多通道共享A/D型 图3-15是多通道共享A/D的数据采集系统。这个系统的特点是每个通道具有独立的采样-保存电路,但A/D电路是共享的。根据这一特点可知,这种系统的各通道可以实现同时采样,所以这种系统又叫做同步数据采集系统。系统中的各个通道受同一个信号控制,能保证各通道在同一时刻采样。但是,这种系统不能实现同时转换,而是只能分时共享。 ⑷主计算机管理的各通道可以独立工作的采集系统 图3-16是这种系统的结构示意图。由图可知,系统各通道都有S/H和A/D电路,都有单片机和采样前的必要的预处理系统,因此各个通道的独立性很强。各通道可按各自的要求,独立进行测试。 近年来,采样厚膜技术制作的多功能数据采集模块,把数据采集系统的各部分都集成在一个模块里,并可与微机兼容。在此基础上发展起来的插卡式数据采集系统功能强大,使用灵活,受到了广泛应用。这种插卡式数据采集系统,可以插入计算机方便地构成各种采集系统。 图3-16 主计算机管理的各通道可以独立各种的采集系统 3.1.2 数/模转换(D/A) 前面讨论了模数转换,下面讨论一下数模转换。所谓数模转换,就是把数字信号转换成模拟信号。我们知道,计算机输出的是数字信号,但在应用中常常需要把数字信号转换成模拟信号,所以数模转换在测试技术中,也是一个重要的环节。 大家知道,数字量是用代码按数位组合起来的,对于有权码,每位代码都有一定的权。

单片机和蓝牙模块无线传输的数据采集系统

单片机和蓝牙模块无线传输的数据采集系统

1.引言 蓝牙技术是近年来发展迅速的短距离无线通信技术,可以用来替代数字设备间短距离的有线电缆连接。利用蓝牙技术构建数据采集无线传输模块,与传统的电线或红外方式传输测控数据相比,在测控领域应用篮牙技术的优点主要有[1][2][3]: 1.采集测控现场数据遇到大量的电磁干扰,而蓝牙系统因采用了跳频扩频技术,故可以有效地提高数据传输的安全性和抗干扰能力。 2.无须铺设线缆,降低了环境改造成本,方便了数据采集人员的工作。 3.可以从各个角度进行测控数据的传输,可以实现多个测控仪器设备间的连网,便于进行集中监测与控制。 2.系统结构原理 本课题以单片机和蓝牙模块ROK 101 008为主,设计了基于蓝牙无线传输的数据采集系统,整个装置由前端数据采集、传送部分以及末端的数据接受部分组成(如PC机)。前端数据采集部分由位于现场的传感器、信号放 大电路、A/D转换器、单片机、存储器、串口通信等构成,传送部分主要利用自带微带天线的蓝牙模块进行数据的无线传输;末端通过蓝牙模块、串口通信传输将数据送到上位PC机进一步处理。整个系统结构框架图如图1所示。 AT89C51单片机作为下位机主机,传感器获得的信号经过放大后送入12位A/D转换器AD574A进行A/D 转换,然后将转换后的数据存储到RAM芯片6264中。下位机可以主动地或者在接收上位机通过蓝牙模块发送的传送数据指令后,将6264中存储的数据按照HCI-RS232传输协议进行数据定义, 通过MAX3232进行电平转换后送至蓝牙模块,由篮牙模块将数据传送到空间,同时上位机的蓝牙模块对此数据进行接收,再通过MAX3232电平转换后传送至PC 机,从而完成蓝牙无线数据的交换。

基于ADC0832的单片机数据采集系统设计

院肥学合 告报程设计创新课 目题的单片机数据采集系统设计:基于ADC0832 别系 __ __ 电子信息与电气工程系: 业专___ _______ ___ 通信工程: 级班______ _ 班____ _10通信(1)(2): 号学100507200_1005072032 1005072033_ : 名姓__ _ __ : 师导_ _____ _ 张大敏:_____ :绩成____________ ___________

日01年2014 月07 《通信技术创新课程设计》任务书

摘要 随着时代的进步,用指针式万用表测量小幅度直流电压已经显得有些不太方便。因为指针式的测量不够精确,随着长时间的使用可能会造成欧姆调零以及机械调零的磨损,这都会对数据的测量造成很多困难,而采用数字式电压表来测量就可以避免这种情况的发生,而且操作更加方便。下面本文将介绍一种由数字电路以及单片机构成的简易数字电压表的设计方法。 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表本设计运用89C52和ADC0832进行A/D转换,根据数据采集的工作原理,设计实现数字电压表,最后完成单片机与PC的数据通信,传送所测量的电压值。该数字电压表测量电压类型是直流,测量范围是0-51V(本设计量程为0-5V)。 电路包括:数据采集电路的单片机最小化设计、单片机与PC接口电路、单片机钟电路、复位电路等。下位机采用89C52芯片,A/D转换采用ADC0832芯片。通过RS232行口与PC进行通信,传送所测量的直流电压数据。 关键词:STC89C52单片机 ADC0832模数转换器 LCD1602

单片机数据采集系统

课程设计报告书 课程名称:单片机原理及应用 __________ 课题名称:单片机数据采集系统 ___________ 专业:___________________ 班级:_______________________ 学号:___________________ 姓名:_______________________ 成绩:___________________________________

2010年6月13 日 设计任务书 一、设计任务 1 一秒钟采集一次。 2把INO 口采集的电压值放入30H单元中 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1.米用8051和ADC0809勾成个8通道数据米集系统。 2.能够顺序采集各个通道的信号。 3.米集信号的动态范围:0?5V。 4.每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h? 27h 存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D 转换器循环采样模拟电压,每隔一定时间去采样

一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。

第一章系统设计要求和解决方案第一章硬件系统 第二章软件系统 第四章实现的功能 第五章缺点及可能的解决方法第六章心得体会 附录参考文献 附录二硬件原理图 附录三程序流程图

第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D, 单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如 图1-1所示 被测电压为0?5V直流电压,可通过电位器调节产生' 1.1.1信号采集 多路数据采集系统多采用共享数据采集通道的结构形式数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地 址可随意选择,控制多路传输门开启 的通道地址码由存储器中读出的指令 确定。即改变存储器中的指令内容便 可改变通道地址。 由于顺序控制数据采集方式缺乏 通用性和灵活性,所以本设计中选用程 序控制数据采集方 采集多路模拟信号时,一般用多 路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是多通道数据采集系统的实质。当采集高速信号时,

数据采集系统的历史与发展

数据采集系统的历史与发展 数据采集系统起始于20设计50年代,1956年美国首先研究了用在军事上的测试系统,目标是测试中不依靠相关的测试文件,由非熟练人员进行操作,并且测试任务是由测试设备高速自动控制完成的。由于该种数据采集测试系统具有高速性和一定的 灵活性可以满足众多传统方法不能完成的数据采集和测试任务,因而得到了初步的认可。大约在60年代后期,国外就有成套的数据采集设备产品进入市场,此阶段的数据采集设备和系统多属于专业的系统。 20世纪70年代中后期,随着微型的发展,诞生了采集器,仪表同计算机溶于一 体的数据采集系统。由于这种数据采集系统的性能优良,超过了传统的自是这一类的 典型代表。这种接口系统采用积木式结构,把相应的接口卡装在专用的机箱内,然后 由一台计算机控制。第二类系统在工业现场应用较多。这两种系统中,如果采集测试 任务改变,只需将新的仪用电缆接入系统,或将新卡在添加的专业的机箱里即可完成 硬件平台中建,如果采集测试任务改变,只需将新的仪用电缆接入系统,或将新卡再 添加到专用的机箱即可完成硬件平台重建,显然,这种系统比专用系统灵活得多。20 世纪80年代后期,数据采集系统发生了极大的变化,工业计算机,单片机和大规模集成电路的组合,用软件管理,使系统的成本降低,体积减小,功能成倍增加,数据处 理能力大大加强。 20世纪90年代至今,在国际上技术先进的国家,数据采集技术已经在军事,航 空电子设备及宇航技术,工业等领域被广泛应用。由于集成电路制造技术的不断提高,出现了高性能,高可靠性的单片数据采集系统(DAS)。目前有的DAS产品精度已达16位,采集速度每秒达到几十万次以上。数据采集技术已经成为一种专门的技术,在工业领域得到了广泛的应用。该阶段数据采集系统采用更先进的模块式结构,根据不 同的应用要求,通过简单的增加和更改模块,并结合系统编程,就可扩展或修改系统,迅速地组成一个新的系统。该阶段并行总线数据采集系统高速,模块化和即插即用方 向发展,典型系统有VXI总线系统,PCI,PXI总线系统等,数据位以达到32位总线宽度,采用频率可以达到100MSps。由于采用了高密度,屏蔽型,针孔式的连接器和卡 式模块,可以充分保证其隐定性急可靠性,但其昂贵的价格是阻碍它在自动化领域取 得了成功的应用。 串行总线数据采集系统向分布式系统结构和智能化方向发展,可靠性不断提高。 数据采集系统物理层通信,由于采用RS485双绞线,电力载波,无线和光纤,所以其技术得到了不断发展和完善。其在工业现场数据采集和控制等众多领域得到了广泛的 应用。由于目前局域网技术的发展,一个工厂管理层局域网,车间层的局域网和底层 的设备网已经可以有效地连接在一起,可以有效地把多台数据采集设备联在一起,以 实现生产环节的在线实时数据采集与监控。

基于51单片机的高速数据采集系统

图6.1 程序流程图6.2 源程序 /*ADC0809.C*/ #include #include #define uchar unsigned char //定义数码管位码端口 sbit P2_0=P2^0; sbit P2_1=P2^1; sbit P2_2=P2^2; sbit P2_3=P2^3; //定义ADC0808端口

sbit OE=P3^0; sbit EOC=P3^1; sbit ST=P3^2; sbit P3_4=P3^4; sbit P3_5=P3^5; sbit P3_6=P3^6; //带小数点的0-5的段码 uchar leddata_dot[]={0x40,0x79,0x24,0x30,0x19,0x12}; uchar leddata[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90};//共阳极0-9段码 //uchar leddata[]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};//共阴极0-9 //延时子程序 void delay(uchar n) { uchar i,j; for(i=0;i

基于单片机的数据采集系统设计

本科毕业论文(设计、创作) 题目:基于单片机的数据采集系统设计 学生姓名:学号:023******* 所在院系:信息与通信技术系专业:电子信息工程 入学时间:2010 年9 月导师姓名:职称/学位:讲师/博士 导师所在单位: 完成时间:2014 年 5 月安徽三联学院教务处制

基于单片机的数据采集系统设计 摘要:本篇论文讲述了在单片机的基础上的数据采集系统的设计,在此设计过程中需要的硬件很多,但主要是以单片机为核心。单片机的作用有很多,而且能够满足本设计所需要的功能即数据的采集和通信之间的控制。本设计分为软硬件两个模块,其中后者除了上面所提到的单片机以外还有A/D模数转换模块,显示模块,和串行接口等一些模块接口部分。数据采集并且响应主机的命令主要是从机的职能。如果打算通过从机采集到的数据进行模数转换,重要一点是使用模数转换器也就是即将用到的ADC0809(8分辨率的D/A转换集成芯片)将8路被测电压进行模数转换,串行口将转变后的数据传输到上位机,数据的接受,处理和显示都是由上位机负责,所采集的数据利用LED(Light Emitting Diode,即发光二极管)来显示。在该系统中,软件是在设计过程中充当着重要的角色。其中软件部分主要是在KEIL环境下使用我们之前学习过的C语言进行对数据采集系统、模数转换系统、数据显示、数据通信等程序的设计。 关键词:数据采集系统;89C52; ADC0809;MAX232; LED

Design of data acquisition system based on SCM Abstract:The film tells the paper on the basis of data collected microcontroller collection system design, hardware design process requires a microcontroller core is mainly, connection between analog and digital domains of data acquisition system is an indispensable bridge. In this study, based on the single-chip microcomputer data acquisition is as the center of gravity, so the microcontroller core part of the hardware of this system. In order to realize data acquisition and communication control to choose modular design USES MCU to complete, also includes A/D analog-to-digital conversion module, display module, and serial interface section. Data acquisition and command response of the host is mainly from the machine functions. If want to use data were collected from the machine, modulus conversion, important is to use the measured voltage will be 8 road of adc, modulus conversion, serial port to transmit the data after a switch to PC, data receiving, processing and display are made by PC, data collected by the use of LED to display. The main part of which software is in KEIL environment, using C language for data acquisition system, analog-digital conversion system, the data show that the design of data communications and other procedures. Keyword:Data acquisition;AT89C52;ADC0809; MAX232;LED

数据采集系统

湖南工业大学科技学院 毕业设计(论文)开题报告 (2012届) 教学部:机电信息工程教学部 专业:电子信息工程 学生姓名:肖红杰 班级: 0801 学号 0812140106 指导教师姓名:杨韬仪职称讲师 2011年12 月10 日

题目:基于单片机的数据采集系统的控制器设计 1.结合课题任务情况,查阅文献资料,撰写1500~2000字左右的文献综述。 近年来,数据采集及其应用技术受到人们越来越广泛的关注,数据采集系统在各行各业也迅速的得到应用。如在冶金、化工、医学、和电器性能测试等许多场合需要同时对多通道的模拟信号进行采集、预处理、暂存和向上位机传送、再由上位机进行数据分析和处理,信号波形显示、自动报表生成等处理,这些都需要数据采集系统来完成。但很多数据采集系统存在功能单一、采集通道少、采集速率低、操作复杂、并且对操作环境要求高等问题。人们需要一种应用范围广、性价比高的数据采集系统,基于单片机的数据采集系统具有实现处理功能强大、处理速度快、显示直观,性价比高、应用广泛等特点,可广泛应用于工业控制、仪器、仪表、机电一体化,智能家居等诸多领域。总之,无论在那个应用领域中,数据采集与处理越及时,工作效率就超高,取得的经济效益就越大。 数据采集系统的任务,就是采集传感器输出的模拟信号转换成计算机能识别的信号,并送入计算机,然后将计算得到的数据进行显示或打印,以便实现对某些物理量的监测,其中一些数据还将被生产过程中的计算机控制系统用来控制某些物理量。 数据采集系统的市场需求量大,特别是随着技术的发展,可用数据器为核心构成一个小系统,而目前国内生产的主要是数据采集卡,存在无显示功能、无记忆存储功能等问题,其应用有很大的局限性,所以开发高性能的,具有存储功能的数据采集产品具有很大的市场前景。 随着电子技术的迅速发展,,一些高性能的电子芯片不断推出,为我们进行电子系统设计提供的更多的选择和更多的方便,单片机具有体积小、低功耗、使用方便、处理精度高、性价比高等优点,这些都使得越来越广泛的选用单片机作为数据采集系统的核心处理器。一些高性能的A/D转换芯片的出现也为数据采集系统的设计提供了更多的方便,无论是采集精度还是采样速度都比以前有了较大的提高。其中一些知名的大公司如MAXIM公司、TI公司、ADI公司都有推出性能比效突出的 A/D转换芯片,这些芯片普通具有低功耗、小尺寸的特点,有些芯片还具有多通道的同步转换功能。这些芯片的出现,不仅因为芯片价格便宜,能够降低系统设计的成本,而且可以取代以前繁琐的设计方法,提高系统的集成度。 数据采集器是目前工业控制中应用较多的一类产品,数据采集器的研制已经相当成熟,而且数据采集器的各类不断增多,性能越来越好,功能也越来越强大。 在国外,数据采集器已发展的相当成熟,无论是在工业领域,还是在生活中的应用,比如美国FLUKE公司的262XA系列数据采集器是一种小型、便携、操作简单、使用灵活的数据采集器,它既可单独使用又可和计算机连接使用,它具有多种测量

51单片机数据采集系统[1]

课程设计报告书 设计任务书 一、设计任务 1一秒钟采集一次。 2把INO口采集的电压值放入30H单元中。 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1. 采用8051和ADC0809构成一个8通道数据采集系统。 2. 能够顺序采集各个通道的信号。

3. 采集信号的动态范围:0~5V。 4. 每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D转换器循环采样模拟电压,每隔一定时间去采样一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。 目录 第一章系统设计要求和解决方案 第二章硬件系统 第三章软件系统 第四章实现的功能 第五章缺点及可能的解决方法 第六章心得体会

附录一参考文献 附录二硬件原理图 附录三程序流程图 第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如图1-1所示

信号采集分析 被测电压为0~5V 直流电压,可通过电位器调节产生。 信号采集 多路数据采集系统多采用共享数据采集通道的结构形式。 数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地址可随意选择,控制多路传输门开启的通道地址码由存储器中读出的指令确定。即改变存储器中的指令内容便可改变通道地址。 由于顺序控制数据采集方式 缺乏通用性和灵活性,所以本设计中选用程序控制数据采集方式。 采集多路模拟信号时,一般用多路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是 图1-3 程序控制数据采集原理 图1-1 一般系统框图

基于单片机的实时数据采集系统设计

万方数据

基于单片机的实时数据采集系统设计 作者:刘松文 作者单位:株洲职业技术学院,湖南株洲,412001 刊名: 科技风 英文刊名:TECHNOLOGY TREND 年,卷(期):2009,(1) 引用次数:0次 参考文献(2条) 1.段晨东.王俭.张文革智能化住宅小区监控系统设计[期刊论文]-电气自动化 2001(4) 2.虞鹤松.武自芳微机控制技术 2008 相似文献(10条) 1.期刊论文刘传宝.申立中.雷基林.徐淑亮.LIU Chuanbao.SHEN Lizhong.LEI Jilin.XU Shuliang单片机 C167CS的 I/O口模拟串口与PC机通信进行数据采集实现方法的研究-现代电子技术2007,30(3) 在做柴油机电控系统开发的过程中,为解决单片机C167CS与PC机通信问题,利用C167CS的I/O 口模拟串口与PC机进行通信,通过这种方法可以实现多个串口,而且串口通讯高效、可靠、标准统一.系统包括目标机采集子程序、目标机数据发送子程序、上位机接收子程序等,并给出了硬件原理图. 2.期刊论文丁国庆成广1kW电视发射机串口数据采集的实现-西部广播电视2007,1(1) 本文主要阐述了串口所用的各个协议,及计算机串口编程的相关方面. 3.期刊论文田会方.吴兴强.Tian.Huifang.Wu.Xingqiang基于LabVIEW与凌阳SPCE061A实现串口数据采集-微计算机信息2006,22(17) 介绍利用凌阳SPCE061A单片机采集数据,Labview作为开发调试平台,二者之间通过串口实现数据通讯的数据采集系统,详细介绍了软硬件实现方案. 4.期刊论文吴方余.周勇.WU Fang-yu.ZHOU Yong一种基于数据库的串口数据采集保存方案-计算机与现代化2005(10) 介绍了利用ADO技术存储串口采集数据的实现方法.详细讲述了系统通信和数据存储部分的实现过程,同时给出系统的软件硬件的组成结构. 5.期刊论文梁国伟.陈方泉.林祖伟基于LabVIEW的串口数据采集的实现及应用-现代机械2009(5) 本文概述了开发软件LabVIEW的特点,介绍了利用LabVIEW实现串口数据采集的方法;采用该方法实现了真空度的实时监测系统的设计,即利用LabVIEW的VISA读取真空计的串口数据并进行处理和显示,完成了基于LabVIEW的串口真空度实时采集. 6.学位论文杨致伟基于主动发送/串口监听的实时数据通信方案2006 目前,数据采集系统作为一种重要的现代化工具,其应用范围在日益扩大,并不断显示出它的重要性。实现数据采集方案主要有两种:采用PCI接口的A/D转换卡和使用普通智能传感器。基于PCI接口的A/D转换卡的方案不适合远程数据采集;智能传感器具有高精度、自适应、可靠、稳定、可维护和可扩展等优点,且适合远程数据采集,但是常规智能传感器使用串口接收数据时,实时性较差。 针对当前实时数据采集存在的问题,本文提出了基于主动发送/串口监听模式的数据采集方案,即建立智能设备主动向串口发送数据,应用计算机多线程技术建立串口监听线程的采集方案。该方案改变了传统的握手、数据传输、断开连接的传输流程模式,改善了串口实时数据采集的实时性。本文阐述了主动发送/串口监听数据采集方案的原理、系统的详细设计和实现方法,最后通过一套仿真系统模拟了该方案进行数据采集的过程。与传统的采集方案相比,该方案特点如下: 利用了RS-485总线作为传输线路,既可进行远程数据采集,而且易实现多点互连,便于多器件的连接,实现系统冗余配置,提高设备的可靠性、健壮性。通过中间的智能设备进行采集,提高系统的可维护性、可扩充性、可移植性。采用对不同串口建立不同的缓冲区,可通过扩展计算机串口,同时采集几到几十路数据,实现单机对较复杂的工业现场的集中控制。 自适应性强,智能传感器具有判断、分析与处理功能,它能根据系统工作情况决策各部分的处理,使系统工作在最佳状态。对于短数据帧的采集效率和速率明显高于普通智能传感器方案。 7.期刊论文华泽玺.王长林.尹忠科.章冲基于主动发送/串口监听模式的实时数据采集-西南交通大学学报2005,40(1) 针对数据采集中的实时性问题,提出了一种新的数据采集方案.该方案建立智能设备主动向串口发送数据,应用计算机多线程技术建立串口监听线程.去掉了传统数据采集方案串口通信中握手和断开连接的过程,同时也保证了数据传输的可靠性.实验结果表明,每次传输20字节时,传输时间可以缩短到原来的约20%.该方案方案已经得到了实际应用. 8.学位论文苗雄峰GPS数据采集及网络共享系统设计2005 为了把GPS串口数据和GPS中频采集器输出的高速数据在网络中共享,本文设计并研制了基于DSP的GPS数据采集与共享系统。系统包括GPS接收机、GPS中频数据采集器、DSP网络通信配器及计算机四部分。重点研究了DSP的软硬件接口设计和计算机端的网络编程。 对于GPS低速串口数据,直接用计算机串口接收,用VC编程实现了串口接收和网络转发。对于GPS中频数据采集器输出的高速同步多路串口数据,则利用DSP的高速多通道同步接收 ,然后用DSP的网络接口转发到计算机上的网卡。基于DSP开发板,作者完成了DSP的多通道缓冲串口(McBSP)接收GPS中频接收机输出信号的硬件调试,并解决了多通道同步串口数据的接收缓冲、数据合并、UDP数据报装帧及网络接口驱动等软件编程。在PC端,通过MFC的网络应用开发类CAsyncSocket实现UDP报的实时接收、数据解帧译码、高速存贮,利用Windows消息机制开发了应用程序友好界面。 9.期刊论文谢程刚.刘泓滨用PowerBuilder设计串口数据采集程序-昆明理工大学学报(理工版)2003,28(6) 在计算机应用过程中,往往涉及到计算机同外部设备进行信息交换等问题,串口通讯技术正是其中运用比较广泛的一种.文章探讨了串口通讯技术在工业中的应用,详细介绍了串口通讯中涉及的属性设置、配置文件调用及用PowerBuilder8.0完成数据采集的方法.为如何用计算机实现串口数据采集提供了一个指导性框架. 10.期刊论文邓洪声.舒大文用Visual Basic 6.0 设计四通道串口数据采集-昆明理工大学学报(理工版) 2004,29(2)

第三章数据采集系统基本原理

第三章数据采集系统基本原理 第一节数据采集系统基本组成 ⒈传感器:将被测的物理量转换成电压信号送至仪器输入电路。 ⒉仪器输入电路:传感器与仪器之间的匹配电路,它作为传感器的输出负载必须具有足够高的输入阻抗,同时它的输出信号作为仪器的输入信号,要求它具有非常小的输出阻抗。仪器输入电路对共模干扰信号具有很强的抑制能力,即具有很高的共轭抑制比。 图3-1 数据采集系统的基本组成框图 ⒊低噪声前置放大器:对检测到的微弱电信号给以固定增益的放大,由于该放大器位于仪器一系列电路的前端,它的噪声是仪器整体系统噪声的主要提供者,因此任何电子仪器测量系统的前置放大器都必须是低噪声电路。 ⒋电模拟滤波器 ①低切滤波器:用来去除低频干扰信号,在地震勘探工作中低频干扰信号主要是指面波信号。 ②高切滤波器:它用来去除高频干扰,在数字信息采集系统中,一般都设置采样开关,这样高切滤波器主要用来去除信号中不满足采样定理的假频成分,假频信号的频率是信号中比折叠频率还高的高频成分。 ③陷波器:它用来除去50Hz的工业频率干扰。 ⒌多路采样开关:在一个采样周期之内,对全部各路信号按先后顺序分别采

样一次,将多路系统转换为单路系统,实现多路合一;同时将连续的模拟信号转换为离散的模拟子样脉冲。 ⒍模数转换器:则将每一个子样脉冲电压转换为二进制代码。 ⒎数据记录系统:将二进制代码按照国际专业技术组织的规定,进行编排和编码,编排主要是将一定长度的二进制数据编排成便于计算机数据处理的字节形式;编码则是为了数据写读的方便,针对数码“1”和“0”对磁带剩余磁通的变化方式所作出的规定。 第二节 输入电路和低噪声前置放大器 一、差动放大器输入电路 A 1和A 2的输出分别为V 1和V 2,它们可表示为 2111i W FO i W FO V R R V R R V ?-????? ??+= ,1221i W FO i W FO V R R V R R V ?-????? ? ?+= 放大器A 3具备输入平衡条件,它的输出V 0表示为 ()()2121021i i f F W FO f F V V R R R R V V R R V -?????? ? ?+-=-?- = 闭环增益为:f F W FO i i F R R R R V V V K ???? ? ?+-=-= 21210 由于该电路具有很高的输入阻抗和共模抑制比,许多数字地震仪的输入电路都采用了该形式的电路。

相关文档
最新文档