圈式流水灯电路的设计

圈式流水灯电路的设计
圈式流水灯电路的设计

目录

1 技术指标 (1)

1.1技术要求 (1)

1.2 初始条件 (1)

2 设计方案及其比较 (1)

2.1 圈式流水灯电路的基本原理 (1)

2.2 方案一 (1)

2.2.1 电路原理框图及原理图 (1)

2.2.2 方案一电路原理分析 (2)

2.3 方案二 (3)

2.3.1 电路原理图 (3)

2.3.2 方案二电路原理分析 (3)

2.4 方案三 (4)

2.4.1 电路原理框图及原理图 (4)

2.4.2 方案三电路原理分析 (4)

2.5 方案比较 (5)

3 实现方案 (5)

3.1 实现方案元件清单 (5)

3.2 实现电路原理分析 (6)

3.2.1 矩形脉冲产生电路 (6)

3.2.2 八进制序列脉冲产生电路 (7)

3.2.3选通发光二级管及显示电路 (8)

3.2.4 实现方案总电路图及原理分析 (9)

3.3 实现方案面包板布线图 (10)

4 调试过程及结论 (10)

4.1 Proteus仿真结果 (11)

4.2 面包板线路调试过程 (11)

4.3 调试出现的问题 (12)

4.4 结论 (12)

5 心得体会 (12)

6 参考文献 (13)

圈式流水灯电路的设计

1技术指标

1.1 技术要求

设计一种利用发光二极管作为流水灯指示,实现连接成圆圈式的发光二极管依次循环点亮形成移动的光点,要求可以实现流水灯的循环时间可以调节。

1.2 初始条件

直流可调稳压电源一台、万用表一块、面包板一块、元器件若干、剪刀、镊子等必备工具。

2 设计方案及其比较

2.1 圈式流水灯电路的基本原理

圈式流水灯电路主要包括两部分电路,第一部分电路产生矩形脉冲波,该部分电路可由555定时器构成多谐振荡器产生。第二部分电路实现选通发光二级管的功能,使圈式排列的灯循环闪亮,该部分电路的实现可采取多种方案。

流水灯发光时间的调节可以通过改变第一部分多谐振荡电路外接电阻,电容实现,也可以通过改变第二部分电路的频率即进制来实现。

2.2 方案一

2.2.1 电路原理框图及原理图

图1为方案一电路的原理框图。电路由脉冲产生电路,八进制序列脉冲产生电路,选通发光二级管电路及显示电路组成。

图1 方案一的原理框图

图2为方案一的电路原理图。由NE 555定时器,74LS 161计数器,74LS 138译码器及相应元器件组成。

图2 方案一的电路原理图

2.2.2 方案一电路原理分析

将NE 555定时器连接成多谐振荡器,用来产生矩形脉冲信号,矩形脉冲信号作为74LS 161计数器的输入脉冲触发计数器工作,将计数器的Q 3端通过一个非门与清零端MR 相连构成8进制计数器,计数器的低三位输出端与74 LS 138译码器的低三位输入端连接,则计数器产生的000-111的八进制序列经译码器译码输出,译码器的输出端循环输出低电平。将发光二级管阴极连接在输出端则实现了八盏灯的循环点亮。

发光时间的调节通过滑动变阻器实现。RV 1’为滑动变阻器接入电路部分的电阻,多谐振荡电路产生脉冲的周期T =0.7*C 2*(R 1+2R2+2RV 1’),频率f =1/T 。发光二级管发光时间即为T 。改变RV 1’的值可改变脉冲频率,进而实现了发光时间长短的调节。C 1为退耦合电容,消除工作过程中由于输出信号突变引起的干扰,提高电路的稳定性。C 2与相应电阻连接构成充放电电路。R 3为过流保护电阻。

多谐振荡器矩

形脉冲产生电

路。(NE 555) 八进制序列脉冲产生电路 (74LS 161) 选通发光二级管电路 (74LS 138) 显示电路 (LED )

2.3.1 电路原理图

图3是方案二的电路原理图。电路主要由矩形脉冲产生电路与十进制计数分频器电路构成。由于4017芯片可看做是计数器与译码器的组合,所以省略其原理框图。

图3 方案二的电路原理图

2.3.2 方案二电路原理分析

方案二的矩形脉冲产生电路及发光时间的调节原理同方案一。IC4017芯片为十进制计数译码器,可实现计数和译码的功能,可用来代替方案一中的74 LS161计数器和74 LS138译码器。其内部由计数器及译码器两部分组成,经计数译码后实现了在连续脉冲触发下,输出端从Q0-Q7端依次输出与脉冲同步的高电平的功能。输出端与发光二级管相连可达到灯依次闪亮的的效果。

4017芯片的CLK端为脉冲输入端。E为使能端,低电平有效。MR为清零端,高电平清零。4017有Q0-Q9 10个输出端,当有连续脉冲输入时,Q0-Q9循环输出高电平。将Q8端与MR端相连,当第九个脉冲输入时Q8输出由低电平变为高低电平,MR端输入高电平,各输出端清零,在接下来的脉冲到来时又从Q0到Q7循环输出高电平脉冲信号。将发光二级管连成共阴极,阳极与Q0-Q7连接,可实现八盏灯的循环闪亮。

2.4.1 电路原理框图及原理图

图4为方案三的电路原理框图,其由脉冲产生电路,N 进制控制电路,选通及显示电路组成。

图4 方案三的原理框图

图5为方案三的电路原理图,电路主要由NE 555定时器,74LS 161计数器与74LS 199移位寄存器组成。

图5 方案三的电路原理图

2.4.2 方案三电路原理分析

方案三中由多谐振荡电路产生的脉冲周期T =0.7C 2(R 1+2R 2),频率f =1/T ,作为下一级计数器的输入脉冲。74LS 161计数器可以将输入脉冲N 分频(N 小于等于16),即N 进

多谐振荡器矩

形脉冲产生电路。(NE555) N 进制 控制电路 (74LS161) 选通发光二级管电路 (74LS199) 显示电路 (LED )

制。通过计数器的RCO进位输出端输出的分频后的脉冲又作为移位寄存器的触发脉冲,每触发一次,寄存器右移一次。

每盏灯发光时间T’=NT,发光时间的调节通过改变计数器的进制数实现(改变N)。单刀双掷开关SW1,SW2,SW3,SW4可以改变D0,D1,D2,D3的置数状态。若将D3D2D1D0置为1001,在脉冲触发下计数器加计数,RCO端在Q3-Q0为1111时输出一个高电平脉冲经非门后使计数器重新置数。实现了Q3-Q0端循环输出1001,1010,1011,1100,1101,1110,1111的电平信号(7进制循环计数)。每七个脉冲RCO端输出一个高电平脉冲作为寄存器的触发脉冲。移位寄存器需先将寄存器置数,使Q7-Q0初始状态为1000,0000。通过SW5控制寄存器是置数还是右移。JK端与Q7相连使每次从Q7端移出的信号通过JK 端送给Q1,并在每个脉冲到来时将该信号右移。由于Q7初始为高电平,所以该寄存器电路实现了将高电平循环从Q7-Q1-Q7的移动,实现了发光二级管的选通。发光二级管连接成共阴极接法。每盏灯的发光时间为7T。

2.5 方案比较

方案一:该方案电路较简单可行,芯片便宜且常用,发光时间的调节灵敏度高于方案三。

方案二:该方案电路最为简单,不过4017芯片价格较高,且与555连用时易出现问题。

方案三:该方案电路所需元器件较多,控制起来较麻烦,发光时间的调节只能整数倍调节,灵敏度低。

经对比,且考虑到现有芯片的基础上选择方案一。

3 实现方案

3.1 实现方案元件清单

集成芯片:NE555(一个),74LS161(一个),74LS138(一个);

电阻:27k(两个),100(一个);

电容:0.01 uf(一个)10uf(一个);

发光二级管:LED (八个)

3.2 实现电路原理分析

3.2.1 矩形脉冲产生电路

所用芯片:NE555定时器

芯片简介:555定时器是多用途的数字—模拟混合集成电路,利用它能极方便的构成施密特触发器,单稳态触发器和多谐振荡器,使用灵活,方便。NE 555定时器的电源电压范围宽,可在 4.5V —16V 工作。输出驱动电流约为200mA 。图6为NE555芯片的管脚图与波形图。

图6 NE555芯片管脚图与波形图

管脚介绍:图7中1脚是接地端;2脚是低电平触发端入端;3脚是输出端;4脚是复位端;5脚是电压控制端;6脚是高电平触发端入端;7脚是放电端;8脚是电源端。

图7为矩形脉冲产生电路原理图,由NE 555定时器构成多谐振荡电路。

图7 矩形脉冲产生电路原理图

NE555芯片1与8端接电源Vcc,Vcc经R1,R2给电容C2充电,给输入端输入直流信号,C2反复充放电,实现了输出矩形波的功能。输出脉冲周期T=0.7C2(R1+2R2),f=1/T。其中C2=10uF,R1=R2=27K,所以T=0.567s,f=1.764Hz。

3.2.2 八进制序列脉冲产生电路

所用芯片:74LS161计数器

芯片简介:集成74LS161是四位二进制同步加法计数器,MR为异步清零端,低电平有效;PE为同步预置数控制端,低电平有效;该计数器为上升沿触发有效,当MR=PE=1时在CP端输入计数脉冲作用下,计数器进行二进制加法器计数。图8为74LS161计数器管脚图。

图8 74LS161计数器管脚图

管脚图介绍:2脚为脉冲输入端,3脚-6脚(P0-P3)为数据输入端,1脚(MR)是清零端,7脚,10脚(CEP、CET)为使能端,9脚(PE)为置数端,11脚-14脚(Q3-Q0)为数据输出端是,15脚(TC)为进位输出端。

图9为八进制序列脉冲产生电路原理图,产生000-111序列脉冲。

图9 八进制序列脉冲产生电路原理图

将ENP,ENT,LOAD,MR端接电源Vcc(即高电平)。CLK脉冲输入端接上级NE555的3脚输入端,在每个脉冲上升沿触发计数器加计数一次,计数器输出端Q3—Q0循环输出0000—1111。由于只把Q2,Q1,Q0作为下级输入,所以Q2Q1Q0会循环输出000—111八进制序列脉冲信号,八进制序列脉冲作为下级的数据输入信号控制选通电路工作。

3.2.3选通发光二级管及显示电路

所用芯片:74LS138译码器

芯片简介:74LS138是集成3-8线译码器,图10为74LS138译码器管脚图。1,2, 3端口为三个输入端口,E1、E2和E3这三个使能端,正常工作时E1接高电平,E2和E3需要接低电平。Y0-Y7为输出端口,译码器工作时每一次只有一个端口输出低电平,实现了数据的选择性输出,74LS138译码器可以将信号的地址从二进制转换成十进制,并从对应的输出端输出一个低电平。其输入输出电压为7V。

图10 74LS138译码器管脚图

图11为选通发光二极管及显示电路,实现了流水灯圈式闪亮的要求。

图11 选通发光二级管及显示电路

74LS138译码器的A,B,C输入端分别连接74LS161计数器的Q0,Q1,Q2端。使能端E1接高电平,E2,E3接低电平,译码器可实现译码功能,作用是将CBA端输入的二进制信号(C为高位)转换成十进制并从相应端口输出低电平。也就是将输入的八进制循环脉冲000—111译码输出,000对应Y0端口输出低电平,111对应Y7端口输出低电平,以此类推。当电路工作时Y0—Y7端口循环输出低电平。实现了选通作用。

将八个LED灯以共阳极的方式分别连在Y0—Y7端口,排列成圈式。电路工作时,经译码器选通后发光二极管D1—D8循环闪亮。R3为过流保护电阻,防止电流过大烧坏二极管。

3.2.4 实现方案总电路图及原理分析

下图12为实现方案电路原理图。实现方案以方案一为原型加以调整,由于提供的元器件不能满足发光时间可调的功能所以省略滑动变阻器的电路部分。调整后的电路还省略

了一个非门,同样可以实现设计要求,且更为简单。

图12 实现方案的总电路原理图

实现方案电路由矩形脉冲产生电路,八进制序列脉冲产生电路,选通发光二级管及显示电路四部分组成。接通电源后,由NE555定时器构成的多谐振荡器,产生了矩形脉冲信号,脉冲周期T=0.567s,频率f=1.764Hz。矩形脉冲信号从NE555定时器的3脚输出。输入到74LS161计数器的脉冲端,每个脉冲上升沿触发计数器加计数一次,Q3,Q2,Q1输出端循环输出000—111序列脉冲,并作为74LS138译码器的输入。译码器将二进制序列转换为十进制,并从相应输出端输出低电平信号。LED灯采用共阳极接法,各个阴极连接在译码器7个输出端口,译码器输出端循环输出低电平信号,八个LED灯被循环点亮。实现圈式流水灯的效果。

3.3 实现方案面包板布线图

图13为实现方案面包板布线图。

4 调试过程及结论

4.1Proteus仿真结果

用Proteus电子辅助设计软件绘制实现电路原理图并进行仿真调试。图14为其仿真结果图。

图14 Proteus软件仿真图

仿真现象:按下仿真键后,电路正常工作,D1—D8循环闪亮,实现圈式流水灯效果。

4.2 面包板线路调试过程

小组成员按照实现电路原理图在面包板上布线,由于电路芯片较多且技术要求LED排

成圈式所以给电路连接带来很大的不便。布线前先画了布线图然后在不交叉不跳线的基础

上将电路连接成功。调试时,将面包板电路的电源端引出一根导线连接直流稳压电源的正

极,将面包板电路的公共地端连接直流电压源的负极。输入电压调为3.3V,电路工作,圈

式排列的8个发光二级管循环闪亮,每次只有一个亮。图15为面包板线路调试过程图。

图15面包板线路调试过程图

经测量,电路工作时LED灯两端电压分别为+2.05V(亮时)与-2.94 V(不亮时)。

4.3 调试出现的问题

由于NE555的工作电压为4.5V—16V,调试选用的输入电压为3.3V,虽调试成功但输入电压选的不合理,多谐振荡电路产生的矩形脉冲会出现失真。74LS138译码器的工作电压应不高于7V,所以输入的电压幅值最好在4.5V—7V之间。

4.4 结论

实现电路经调试验证可以实现技术要求,显示电路有保护电阻实现过流保护。所选芯片为常用芯片,级联使用方便,无多余元件,调试时选取合理电压即可实现圈式流水灯循环闪亮的效果。实验成功。

5 心得体会

这次的数电课设是我们第二次做电路方面的课程设计,因为已经有了上学期模电课设的经验,这次课设进行的更加顺利。这次课设经历我的收获很多也很开心。

我们小组的课题是“圈式流水灯电路的设计”,因为这个电路很有实用价值所以我对这次的课设很感兴趣。课题的实现原理较简单,在设计方案时想到可以用8位移位寄存器实现选通功能。可是电路连线太复杂,元件多所以舍弃。这次课设中用面包板连接电路图比较麻烦,因为面包板较小且8个发光二极管要连接成圈式,给连线造成困难。我和另一位组员李佳耐心的布线最终在不跳线的基础上把电路连接成功。布线简洁美观,很欣慰。最后的调试很成功,圈式排列的8盏灯循环闪亮,美中不足的是直流电压没选择好,选择3.3V不合理,合理的范围应为5V—7V。这也说明我们功课做的不够,在以后的实验中一定要避免此类的错误。

实践的过程总能让人发现自己的优缺点,不论结果如何,收获都很多。可能因为数电实验本身就比较简单,所以设计方案时没遇到什么大的问题,能把理论知识运用到实际中去确实让人感到欣慰。实际应用过程中发现了很多课堂上学习没有注意到的事情,理论学习往往会忽略实际应用中的很多细节问题,比如芯片一些端口的使用。这也告诫我们要不

断的投身实践,完善自己的理论知识和实践能力。最终调试电路出现的不足也提醒了我做事有时不够细心,以为电路原理简单就松懈了导致犯了错误。操作前应该做好充分的准备,不能有半点懈怠,否则实践中一定会出现问题,态度决定一切,尤其是对于实验,良好的实验素养是要从平时每次大大小小的实验中积累的。同时在实验中我们既要学会合作又要学会独立的思考问题,发现问题,解决问题。在这个过程中我们要善于丰富自己的知识,不论是查阅资料还是询问。能力是一点一滴培养起来的。

这次实验结束后我有了想自己做一些简单的小电路的想法,以我们的学习能力,我们完全有能力自己设计并制作实用电路。我想通过自己动手实践学习的理论知识,丰富课外知识,积累实践经验。增加兴趣的同时也在为我以后的学习工作打下良好的基础。

总之,每次课设的机会都很难得,很珍惜这次机会,我会在今后的学习实践中一点点的完善自己。实践出真知,探索的道路还等着我们自己开垦。

6 参考文献

[1]伍时和,吴友宇,凌玲.数字电子技术基础.北京:清华大学出版社,2009.4

[2]李桂安,葛年明,周泉编.电子技术实验及课程设计.南京:东南大学出版社,2008.8 [3]毕满清.电子技术试验与课程设计. 北京:机械工业出版社,2005.7

[4]路勇.电子电路实验及仿真.北京:清华大学出版社,2004

单片机流水彩灯课程设计

课程名称:单片机课程设计 设计题目:流水灯彩灯设计 学院:应用技术学院 专业:电子信息工程信息方向

目录 一、实训的目的 (3) 二、实训的基本要求 (3) 三、电路基本工作原理 (4) 四、组装过程及技巧 (5) 五、软件设计及程序清单 (5) 六、心得体会 (11) 一、实训的目的 通过具有一定功能和应用价值的一个具体产品的设计与制作,或

者一个实际项目的开发与应用,使学生受到工程设计、制造工艺、调试检测和撰写技术报告的系统训练,启迪我们的创新思维,培养我们分析问题和解决问题的综合能力。通过实训使我们巩固、加深和学习光电子技术的基础理论、基本知识和技能技能。使我们正确地选择和使用常用电工仪表、电子仪器及有关实验设计。使我们掌握基本电量及电子元件的测试技术、实验方法和数据的分析处理。使我们能应用已学的理论知识设计简单的应用电路,合理选择元器件构成实用的电子小系统。使我们受到基本的实验技能、系统的工程实践和撰写技术报告的初步训练。培养我们严肃认真、实事求是、独立思考、踏实细致的科学作风和创新的精神。 二、实训的基本要求 要求: 1. 该流水灯设置12个发光二极管,两个按键K1和K2。 2. 上电后,12个LED灯全亮 3. 两个按键一个用于流水灯的启动和停止,另一个用于选择流水灯的花样。 4.“流水”的花样不得少于两种,越多越好。 三、电路图及其基本工作原理 该电路由2个30PF的电容和一个晶震组成的,其中晶振接在18和19引脚。这个作用是为单片机提供一个正常的工作时钟频率

单片机40引脚接+5V的电源,20引脚接地。使用510Ω电阻和发光二极管组成12条支路,分别对应连接单片机的P1口12个。才用共阳极接法40段接上5V的外加电压,通过单片机的P1口控制输出高电平还是低电,当电压为低电平的时候,二极管发光。 电路图如下: 四、组装过程及焊接技巧 (1)材料:松香、焊锡。焊接时最常用的焊料是焊锡。松香焊剂是一种可靠的焊剂,它在电路维修和电子制作中应用广泛。将焊接的

流水灯设计报告

流水灯设计报告 一、实验目的 通过本实验教学,学习数字电路综合应用(将单元电路组成系统电路的方法),掌握简单数字系统设计方法。通过查阅手册和文献资料,培养独立分析和解决实际问题的能力。掌握示波器、信号发生器、频率计、万用电表等常用电子仪器设备的使用。获得数字电路综合应用能力。 二、实验内容 用D 触发器和译码器设计一个8位可循环的流水灯,用仿真软件进行仿真,最后根据电路图在万能板上焊接出来。 三、实验原理 1.D 触发器 D 触发器的状态方程为:Q n+1=D 。其状态的更新发生在CP 脉冲的边沿,74LS74(CC4013)、74LS175(CC4042)等均为上升沿触发,故又称之为上升沿触发器的边沿触发器,触发器的状态只取决于时针到来前D 端的状态。D 触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等,图A 为74LS74外引线排列,图B 为D 触发器逻辑符号。 2.译码器 74LS138 为3 线-8 线译码器,共有 54LS138和 74LS138 两种线路结构型式 工作原理: ① 当一个选通端(E1)为高电平,另两个选通端((/E2))和/(E3))为低电平时,可将地址端(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。比如:A2A1A0=110时,则Y6输出端输出低电平信号。 图A 74LS74外引线排列 图B D 触发器逻辑符号

表1 74LS138逻辑功能表 ② 利用 E1、E2和E3可级联扩展成 24 线译码器;若外接一个反相器还可级联扩展成 32 线译码器。 ③ 若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。 ④ 可用在8086的译码电路中,扩展内存。 引脚功能: A0∽A2:地址输入端 STA (E1):选通端 /STB (/E2)、/STC (/E3):选通端(低电平有效) /Y0∽/Y7:输出端(低电平有效) VCC :电源正 GND :地 A0∽A2对应Y0——Y7;A0,A1,A2以二进制形式输入,然后转换成十进制,对应相应Y 的序号输出低电平,其他均为高电平。 如图C 所示为74LS138译码器的引脚排列图。功能表如表1所示 四、实验结果 图C 74LS138的引脚排列图

三极管流水灯电路设计

三极管流水灯电路设计 王雅 20111041105;韦梦娜 20111041107 摘要:3组12只LED流水灯是特别针对电子装配与调试技能设计出来的,值得学习和电路分析。本文分析了该流水灯电路的特点及其电路工作原理的说明。 关键字:3组12只LED流水灯;电路设计;循环。 1 引言 随着科学技术的发展,电力电子设备与人们的工作、生活的关系日益密切。各种小套件层出不穷,功能多样。本文所设计的电子制作可以说是电子初学者学习电子的最佳入门制作!其制作方式容易,趣味横生,更能提高初学者的动手能力!让初学者在制作学习中感受电子技术带来的乐趣! 2 系统的功能描述 这款3组12只LED流水灯具有制作容易、有趣易学的特点,电路焊接成功后,装入电池,即可正常工作,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。 3 设计原理 3.1 电路工作原理说明: 本电路是由3只三极管组成的循环驱动电路。每当电源接通时,3只三极管会争先导通,但由于元器件存在差异,只会有1只三极管最先导通。这里假设V1最先导通,则V1集电极电压下降,使得电容C2的左端下降,接近0V。由于电容两端的电压不能突变,因此此时V2的基极也被拉到近似0V,V2截止,V2的集电极为高电压,故接在它上面的发光二极管LED5-LED8被点亮。此时V2的高电压通过电容C3使V3基极电压升高,V3也将迅速导通,因此在这段时间里,V1、V3的集电极均为低电压,因此只有LED5-LED8被点亮,LED1-LED4、LED9-LED12熄灭。但随着电源通过电阻R3对C2的充电,V2的基极电压逐渐升高,当超过0.7V时,V2由截止状态变为导通状态,集电极电压下降,LED5-LED8熄灭。与此同时,V2的集电极下降的电压通过电容C3使V3的基极电压也降低,V3由导通变为截止,V3的集电极电压升高,LED9-LED12被点亮。接下来,电路按照上面叙述的过程循环,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。改变电容C1、C2、C3的容量可以改变循环速度,容量越小,循环速度越快。电源使用2节5号干电池即可。 3.2元件清单: 3.3 电路图

NE555 流水灯

. 创新实践环节Ⅰ

目录 第一章前言 (3) 摘要 (3) Abstract (4) 第二章基础技术 (5) 2.1 Proteus基础技术 (5) 2.2 Protel基础技术 (6) 2.3 PCB板制作基础技术 (8) 第三章总体方案设计 (10) 3.1芯片简介 (10) 3.1.1芯片CD4017 (10) 3.1.2芯片NE555 (11) 3.2 方案设计 (13) 第四章硬件设计 (14) 4.1设计要点 (14) 4.2 方案总体电路及其工作原理 (14) 4.2.1总体电路 (15) 4.2.2工作原理 (15) 第五章电路板的调试与测试 (17) 5.1电路板的调试 (17) 5.2电路板的测试 (17) 第六章总结与展望 (18) 第七章谢辞 (19) 第八章参考文献 (20) 附件 (21)

第一章前言 摘要 当今的社会是一个新技术层出不穷的时代,科技迅速发展,在电子领域的发展更是迅速,同时也在影响着我们的生活。随着人民生活水平的提高,流水灯在现实生活中所起的作用越来越重要。例如:在人流拥挤繁忙的交通路段,闪烁着的流水交通灯,提醒着我们要遵纪交通规则,在霓虹闪烁的繁华大街上,闪烁的流水灯无不吸引过路人的眼球,甚至在一些大型商场大厦的自动门上都装有自动流水灯,告诉人们的时间和日期。通常的流水灯是应用单片机设计的,而单片机的设计成本较高,对编程的要求也比较高,由于我们学习了数字电路,所以采用了小型集成电路设计流水灯。 流水灯的设计要求在预定的时间到来时,会产生一个控制信号控制LED灯的流向、间歇等,LED灯流向可以随着电路的改变而改变,并具有自控、手控、流向控制功能等。主要参考数字电路中计数器的原理。NE555振荡器的作用等相关知识在设计的过程中需要了解相关芯片(CD4017、NE555)的具体功能。认真连接设计电路,由于最后流水灯的流向十分的清晰和稳定,所以所得的结果测试十分简洁并且很成功。

电子科技大学 数字逻辑课程设计——流水灯的实现

数字逻辑课程设计 ——流水灯的设计 1问题概述: 设计一个可以循环移动的流水灯,灯总数为8盏,具体要求如下: 1、5亮,其余灭,右移三次后全灭 4、8亮,其余灭,左移三次后全灭 4、5亮,其余灭,各向两边移三次后全灭 1、8亮,其余灭,各向中间移三次后全灭 所要求的彩灯电路在某电路板上完成,该电路板能够提供48MHz标准时钟信号,附带有8个共阳的LED管可作为彩灯使用。 2问题分析 本装置可以看作一个具有20个状态的无输入、8个输出的Moore型时钟同步状态机,每一个状态对应依次出现的每一种亮灯情况,用5位状态编码表示。这里构造一个模20的计数器来循环产生这20种状态。同时对于输入的48MHz的标准时钟信号,需要将其转化为1HZ的信号,此处同样用计数器来实现分频功能。8个输出分别控制LED的发光情况。这里使用5-32的译码器实现输出函数的构造。电路框图如下: 这里使用一个5位的状态编码Q4Q3Q2Q1Q0,表示20个状态。8位的输出函数F7F6F5F4F3F2F1F0分别表示由左至右每一个灯的通断情况。由于本题中LED灯采用共阳极连接方式,所以当Fn为低电平时,对应的LED灯发光。 本电路状态图如下:

本电路的转移/输出表如下: 现针对每一部分设计具体电路 3设计方案 3.11/48MHz分频电路 对于48MHz的信号,一秒钟内有4.8*10^7个周期,而所需1Hz信号,每秒只有一个周期。使输入信号每经过2.4*10^7个周期,输出信号翻转一次方向,便可获得所需的1Hz信号。可以构造一个模4.8*10^7的计数器用于计数,并使计数器输出的最高位在一秒之内恰好变化一次,且占空比为50%,故采用7片74x163进行级联。计数范围为:0110100100011100101000000000-1001011011100011010111111111。这样恰好可以保证最高

CD4017流水灯电路设计

CD4017流水灯电路设计 摘要:随着LED技术的不断发展以及LED在低功耗、长寿命、环保等方面的优势,LED应用领域逐渐增多。同时,许多国家在看到LED巨大的市场潜力后,纷纷出台各项鼓励措施大力推动LED在各领域中的应用。目前,LED的应用已经从最初的指示灯应用转向更具发展潜力的显示屏,景观照明、背光源、汽车车灯、交通灯、照明等领域,LED应用正呈现出多样化发展趋势。 本次毕业设计就是用小功率LED作为发光体替代实验室中价格昂贵的钠光灯或白炽灯。并利用555定时器、可变电阻普通电阻、电解电容以及普通电容构成可调驱动电路,驱动CD4017计数器构成的译码电路,使LED依次循环发光,从而组成循环流水灯。 关键词: CD4017 555定时器 LED

目录 设计任务和要求 (3) 1.引言 (4) 2.总体设计方案选择与说明 (5) 2.1 方案选择 (5) 2.2 电路工作原理: (5) 3.单元硬件设计说明 (5) 3.1 555定时器 (6) 3.2 自激多谐振荡器 (10) 3.3 十进制计数/分频器CD4017 (11) 3.3.1 CD4017内容说明: (11) 3.3.2 CD4017十进制计数器内部电路图: (12) 3.3.3 CD4017时序波形图: (13) 3.3.4 CD4017引脚图如下: (14) 3.3.5 CD4017引脚功能: (14) 3.4 发光二极管(LED) (15) 3.4.1 LED 特点 (13) 3.4.2 LED光源的特点 (16) 3.5 元件明细表 (17) 4.软件说明 (18) 4.1 Protel99简介 (18) 4.2 Proteus简介 (19) 5.安装调试方法 (19) 5.1 安装方法 (19) 5.2 调试方法 (20) 6.总结 (20) 7.致谢 (21) 8.参考文献 (22) 附录一 (22) 附录二 (24) 附录三 (25) 附录四 (26)

彩灯流水电路的设计

深圳大学实验报告实验课程名称:数字电路与逻辑设计 实验项目名称:彩灯流水电路的设计 学院:信息工程专业: 报告人: 学号:班级: 同组人: 指导教师: 实验时间: 实验报告提交时间:

一、设计题目 设计一电路以驱动八只灯,并使其七亮一暗,且这一暗灯按一定节拍循环右移。 二、实验仪器与材料 (1)RXS-1B数字逻辑电路实验箱; (2)74LS90(十进制计数器)、74LS161(4位二进制同步计数器(异步清除))、74LS138(3线-8线译码器)、74LS04(六反向器)、74LS20(双四输入与非门)。 三、设计方法提示 该电路可分别用如下几个方案实现: (1)用一片十进制计数器(74LS90)接成八进制计数器和3线-8线译码器(74LS138)实现。 (2)用一片四位二进制计数器(74LS161)和3线-8线译码器(74LS138)实现。 四、实验方法 自拟。 五、实验报告要求 画出实验电路,回答思考题。 六、思考题 (1)如果本设计题改为八只灯七暗一亮,且这一亮灯按一定节拍循环右移,那电路该如何改动。 (2)现代城市有各种复杂的、变化异彩的彩灯图案(有左移的、有右移的、有方的、有圆的、有上移的、有下移的),你能构思出现实中这些彩灯图案的电路框图吗?

用一片十进制计数器(74LS90)接成八进制计数器和3线-8线译码器(74LS138)实现

用一片四位二进制计数器(74LS161)和3线-8线译码器(74LS138)实现

思考题 (1)如果本设计题改为八只灯七暗一亮,且这一亮灯按一定节拍循环右移,那电路该如何改动。 答:附加两片74LS04芯片,将74LS138芯片的所有输出信号对应输入74LS04芯片的输入端,再从74LS04芯片的输出端对应输出到彩灯上。 (2)现代城市有各种复杂的、变化异彩的彩灯图案(有左移的、有右移的、有方的、有圆的、有上移的、有下移的),你能构思出现实中这些彩灯图案的电路框图吗? 答:能。只需合理地改变彩灯摆放位置即可得到相应的图案。

单片机c语言编程控制流水灯

说了这么多了,相信你也看了很多资料了,手头应该也有必备的工具了吧!(不要忘了上面讲过几个条件的哦)。那个单片机究竟有什么 功能和作用呢?先不要着急!接下来让我们点亮一个LED(搞电子的应该知道LED是什么吧^_^) 我们在单片机最小系统上接个LED,看我们能否点亮它!对了,上面也有好几次提到过单片机最小系统了,所谓单片机最小系统就是在单片机 上接上最少的外围电路元件让单片机工作。一般只须连接晶体、VCC、GND、RST即可,一般情况下,AT89C51的31脚须接高电平。 #include //头文件定义。或用#include其具体的区别在于:后者定义了更多的地址空间。 //在Keil安装文件夹中,找到相应的文件,比较一下便知! sbit P1_0 = P1 ^ 0; //定义管脚 void main (void) { while(1) { P1_0 = 0;//低电平有效,如果把LED反过来接那么就是高电平有效 } } 就那么简单,我们就把接在单片机P1_0上的LED点亮了,当然LED是低电平,才能点亮。因为我们把LED的正通过电阻接至VCC。 P1_0 = 0; 类似与C语言中的赋值语句,即把0 赋给单片机的P1_0引脚,让它输出相应的电平。那么这样就能达到了我们预先的要求了。 while(1)语句只是让单片机工作在死循环状态,即一直输出低电平。如果我们要试着点亮其他的LED,也类似上述语句。这里就不再讲了。 点亮了几个LED后,是不是让我们联想到了繁华的街区上流动的彩灯。我们是不是也可以让几个LED依次按顺序亮呢?答案是肯定的!其 实显示的原理很简单,就是让一个LED灭后,另一个立即亮,依次轮流下去。假设我们有8个LED分别接在P1口的8个引脚上。硬件连接,在 P1_1--P1_7上再接7个LED即可。例程如下: #include sbit P1_0 = P1 ^ 0; sbit P1_1 = P1 ^ 1; sbit P1_2 = P1 ^ 2; sbit P1_3 = P1 ^ 3; sbit P1_4 = P1 ^ 4; sbit P1_5 = P1 ^ 5; sbit P1_6 = P1 ^ 6; sbit P1_7 = P1 ^ 7; void Delay(unsigned char a) { unsigned char i; while( --a != 0) {

用单片机控制的LED流水灯设计(电路、程序全部给出)讲课教案

用单片机控制的L E D 流水灯设计(电路、程序全部给出)

1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。 2.硬件组成 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATM EL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个16位定时/计数器、5个向量两级中断结构、2个全双工的串行口,具有4.25~5.50V的电压工作范围和0~24MHz工作频率,使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。其具体硬件组成如图1所示。 图1 流水灯硬件原理图 从原理图中可以看出,如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭的方法同LED1。因此,

基于单片机的LED流水灯设计

基于单片机的LED流水灯设计 设计任务 1掌握MCS-51系列8051、8255的最小电路及外围扩展电路的设计方法 2了解单片机数据转换功能及工作过程 3设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示4完成主要功能模块的硬件电路设计 5用proteus软件完成原理电路图的绘制 一设计方法 本课题使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED 的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到

“流水”效果了。 二方案论证与比较 2.1循环移位法 在上个程序中我们是逐个控制P1端口的每个位来实现的,因此程序显得有点复杂,下面我们利用循环移位指令,采用循环程序结构进行编程。我们在程序一开始就给P1口送一个数,这个数本身就让P1.0先低,其他位为高,然后延时一段时间,再让这个数据向高位移动,然后再输出至P1口,这样就实现“流水”效果啦。由于8051系列单片机的指令中只有对累加器ACC中数据左移或右移的指令,因此实际编程中我们应把需移动的数据先放到ACC中,让其移动,然后将ACC移动后的数据再转送到P1口,这样同样可以实现“流水”效果。具体编程如下所示,程序结构确实简单了很多。 2.2查表法 上面的两个程序都是比较简单的流水灯程序,“流水”花样只能实现单一的“从左到右”流方式。运用查表法所编写的流水灯程序,能够实现任意方式流水,而且流水花样无限,只要更改流水花样数据表的流水数据就可以随意添加或改变流水花样,真正实现随心所欲的流水灯效果。我们首先把要显示流水花样的数据建在一个以TAB为标号的数据表中,然后通过查表指令“MOVC A,@A+DPTR”把数据取到累加器A中,然后再送到P1口进行显示。具体源程序如下,TAB标号处的数据表可以根据实

多功能流水灯设计

黄河科技学院毕业设计说明书第1页 1 绪论 1.1 课题背景及目的 今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,数字逻辑电路的发展也日趋迅速,通常流水灯的设计会选择单片机编程,虽然单片机具有体积小、功能强、成本低、应用面广等优点,但是,选择单片机更大的增加了设计费用,并且对设计者的编程语言要求高,而在数字电路中,中规模集成电路以其功能强大、种类繁多,得到广泛应用。很多中规模集成电路都具有通用性,它的应用已不仅仅局限于其本身所具有的功能。如本文所设计的流水灯电路,就是利用中规模集成电路的功能扩展,将移位寄存器构成移存型计数器,将其输出端接到多个LED指示上。利用数字电路来控制灯的状态,并显示设计结果。其主要的电路原理:整个流水灯电路由时钟产生,流水程序控制驱动及功率控制元件电源供给电路等电路组成。 1.2 课题研究方法 常见的流水灯控制系统中,是使用微机控制,设备复杂,成本较高;另外应用单片机控制,虽然简单,但系统智能化及传输可靠性低,且对语言的编程能力要求较高,均不理想。为了提高系统可靠性、实用性,从而研究了一种基于模拟电子技术和数字电子技术的循环控制系统。这种设计不仅仅应用到流水灯的控制,也在工业生产中提高自动化循环控制得到利用。为了发光二极管形成流水效果,将电源加在555定时器中,定时发送脉冲,通过CD4017循环计数,由CD4066控制开关,使发光二极管逐个接受高电平,循环亮起,设计中,选用四种颜色的发光二极管,从而形成更好的流水效果。 1.3 基本要求设计方案 (1)设计一个彩灯控制电路,使其能够产生一个控制信号控制彩灯实现灯光变换的功能。 (2)该彩灯控制电路,在完成基本变化的基础上,可以实现彩灯的流向性,间歇性变化的要求,从而使彩灯更加丰富化。

流水灯电路的制作

流水灯电路的制作 一、概述: 随着电子技术的快速发展尤其是数字技术的突飞猛进,多功能流水灯凭着简易,高效,稳定等特点得到普遍的应用。在各种娱乐场所、店铺门面装饰、家居装潢、城市墙壁更是随处可见,与此同时,还有一些城市采用不同的流水灯打造属于自己的城市文明,塑造自己的城市魅力。目前,多功能流水灯的种类已有数十种,如家居装饰灯、店铺招牌灯等等。所以,多功能流水灯的设计具有相当的代表性。 多功能流水灯,就是要具有一定的变化各种图案的功能,主要考察了数字电路中一些编码译码、计数器原理,555定时器构成时基电路,给其他的电路提供时序脉冲,制作过程中需要了解相关芯片(NE555、CD4017)的具体功能,引脚图,真值表,认真布局,在连接过程中更要细致耐心。 二、电路原理图 三、电路工作原理 多功能流水灯原理电路图如上图所示。原理电路图由振荡电路、译码电路和光源电路三部分组成。本文选用的脉冲发生器是由NE555与R2、R3及C1组成的多谐振荡器组成。主要是为灯光流动控制器提供流动控制的脉冲,灯光的流动速度可以通过电位器R3进行调节。由于R3的阻值较大,所以有较大的速度调节范围。灯光流动控制器由一个十进制计数脉冲分配器CD4017和若干电阻组成。 CD4017的CP端受脉冲发生器输出脉冲的控制,其输出端(Q0~Q9)将输入脉冲按输入顺序依次分配。输出控制的脉冲,其输出控制脉冲的速度由脉冲发生器输出的脉冲频率决定。10

个电阻与CD4017的10个输出端Q0~Q9相连,当Q0~Q9依次输出控制脉冲时10个发光二极管按照接通回路的顺序依次发光,形成流动发光状态,即实现正向流水和逆向流水的功能。电源电路所采用的电源为。 四、板的设计 五、元器件清单 六、电路的组装与调试 1、电路的组装方法和步骤 (1)筛选元器件。对所有购置的元器件进行检测,注意它们的型号、规格、极性,应该保质量。 (2)按草图在PCB板上组装并焊接。 要求:①元器件布局整齐、美观,同类型元器件高度一致;

数字电路流水灯设计

数字电路流水灯设计 一:方案论证与比较 1:工作时钟源设计 (1)采用555定时器接成的多谐振荡器。 555定时器是多用途的数字—模拟混合集成电路,利用它能极方便的构成施密特触发器,单稳态触发器和多谐振荡器,使用灵活,方便。555定时器在波形产生和交换,测量与控制中应用广泛成熟准确。 (2)采用三极管多谐振荡器 三极管多谐振荡器是一种矩形脉冲产生电路,这种电路不需外加触发信号,便能产生一定频率和一定宽度的矩形脉冲,常用作脉冲信号源。由于矩形波中含有丰富的多次谐波,故称为多谐振荡器。多谐振荡器工作时,电路的输出在高、低电平间不停地翻转,没有稳定的状态,所以又称为无稳态触发器。 (3)方案比较 555定时器接成的多谐振荡器产生的时钟信号驱动能力较强,555通过改变R和C的参数就可以改变振荡频率,电路参数容易确定,使用简单,信号稳定,调试方便,而三极管多谐振荡器,不易调试,输出信号驱动能力不强且信号不够稳定,故选用555定时器接成的多谐振荡器作为系统的时钟源。

2流水灯驱动电路设计 本次项目中使用1片4位同步二进制计数器74LS161,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。 与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下: 8个LED以共阳接法分别接于Y0—Y7,依次点亮,其亮灭频率由555定时器产生的时钟频率为准。 二参数计算 555定时器外接电阻计算

LED流水灯设计

单片机课程设计报告 LED流水灯的设计 专业: 姓名:学号: 姓名:学号: 姓名:学号: 2015年月日

目录 LED流水灯的设计0 第一章绪论1 设计目的1 设计任务1 设计方法1 第二章设计内容与所用器件1 基本功能1 LED彩灯错误!未定义书签。 循环移位法2 第三章硬件系统设计2 单片机时钟电路2 第四章软件设计2 汇编语言和C语言的特点及编程 2 LED显示原理3 第五章系统调试与存在的问题4 程序下载4 硬件调试5 软件调试5 总结5 参考文献 6 LED流水灯的设计 [摘要]:当今社会,随着人们物质生活的不断提高,电子产品已经走进了家家户户,无论是生活或学习,还是娱乐和消遣几乎样样都离不开电子产品,大型复杂的计算能力是人脑所不能胜任的,而且比较容易出错。计算器作为一种快速通用的计算工具方便了用户的使用。计算器可谓是我们最亲密的电子伙伴之一。本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。 单片机由于其微小的体积和极低的成本,广泛的应用于家用电器、工业控制等领域中。在工业生产中。单片微型计算机是微型计算机称单片机,特别适用于控制领域,故又称为微控制器。 本系统就是充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 [关键字]:单片机技术;系统设计;LED流水灯

第一章绪论 设计目的 通过本次课题设计,应用《单片机原理及应用》等所学相关知识及查阅资料,完成简易LED流水灯系统设计,以达到理论与实践更好的结合、进一步提高综合运用所学知识和设计的能力的目的。 通过本次设计的训练,可以使我在基本思路和基本方法上对基于MCS-51单片机的嵌入式系统设计有一个比较感性的认识,并具备一定程度的设计能力。 设计任务 在本次课程设计中,主要完成如下方面的设计任务: 1、掌握MCS-51系列8051的最小电路及外围扩展电路的设计方法; 2、了解单片机数据转换功能及工作过程; 3、设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示; 4、完成主要功能模块的硬件电路设计。 设计方法 本课题使用STC89C52RC单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。 如果要让接在口的LED1亮起来,那么只要把口的电平变为低电平就可以了;相反,如果要接在口的LED1熄灭,就要把口的电平变为高电平;同理,接在~口的其他7个LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。 由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到“流水”效果了。 第二章设计内容与所用器件 基本功能 利用STC89C52RC作为主控器组成一个LED流水灯系统,实现8个LED霓虹灯的左、右循环显示。 可选器件 51系列单片机、电容C104、、18?和1K的电阻、LED灯、按键、晶振等。 总体框架图

流水彩灯的设计.

目录 1.技术要求 (1) 2.设计方案及其比较 (1) 2.1方案设计要求 (1) 2.2基本原理 (1) 2.2.1原理概括 (1) 2.2.2主要元器件简介 (1) 2.3方案一 (5) 2.3.1方案原理 (5) 2.3.2软件仿真 (6) 2.4方案二 (7) 2.4.1 方案原理 (7) 2.4.2 软件仿真 (8) 2.5方案三 (8) 2.5.1 方案原理 (8) 2.5.2 软件仿真 (10) 2.6方案比较 (11) 2.6.1方案元件比较 (11) 2.6.2方案功能比较 (11) 2.6.3方案可实现性比较 (11) 3.实现方案 (12) 3.1方案元件 (12) 3.2方案原理 (12) 3.2.1谐振模块 (13) 3.2.2计数模块 (14) 3.2.3译码模块 (14) 3.2.4发光电路 (15) 3.3实现过程 (15) 3.4小结 (16) 4.调试过程及结论 (16) 4.1调试过程 (16) 4.2结论 (16) 5.心得体会 (16) 6.参考文献 (17)

圈式流水灯电路的设计 1.技术要求 设计一种利用发光二极管作为流水灯指示,实现连接成圆圈式的发光二极管依次循环点亮形成移动的光点,要求可以实现流水灯的循环时间可以调节。 2.设计方案及其比较 2.1方案设计要求 (1)按照技术要求,提出自己的设计方案(多种)并进行比较; (2)掌握计数、译码、控制及显示电路的工作原理及其电路结构,以NE555时基集成电路、74LS161和74LS138为主,设计一种圈式流水灯电路(实现方案); 2.2基本原理 2.2.1原理概括 圈式流水灯电路主要包括两部分电路,第一部分电路产生矩形脉冲波,该部分电路可由555定时器构成多谐振荡器产生。第二部分电路实现选通发光二级管的功能,通过计数器和译码器实现圈式排列的灯循环闪亮,该部分电路的实现可采取多种方案。 由于555定时器产生矩形脉冲的周期取决于外接电阻和电容,所以流水灯发光时间的调节可以通过改变第一部分多谐振荡电路外接电阻阻值或电容大小实现,也可以通过改变第二部分电路的频率即进制来实现。 2.2.2主要元器件简介 (1)NE555定时器

流水灯电路

流水灯电路的制作与测试 【知识目标】 ●理解时序逻辑电路的基本概念及分类。 ●掌握同步和异步时序逻辑电路的分析方法。 ●理解计数器的逻辑功能及原理。 ●掌握寄存器电路的基本工作原理,理解移位寄存器的逻辑功能。 【技能目标】 ●能用触发器制作与调试各种同步计数器。 ●能用集成计数器制作任意进制的计数器。 ●熟悉集成移位寄存器逻辑功能和各控制端的作用,能构成实用电路。 ●多种方法实现流水灯电路,且进一步完善流水灯功能。 任务一用移位寄存器构成流水灯电路 一、分析任务 在一些数字系统中,有时需要系统按照事先规定的顺序进行一系列的操作。这就要求系统的控制部分能给出一组在时间上有一定先后顺序的脉冲,再用这组脉冲形成所需要的各种控制信号。 二、相关知识 在数字系统中,常常需要将一些数码、运算结果和指令等暂时存放起来,然后在需要的时候再取出来进行处理或运算。这种能够用于存储少量二进制代码或数据的时序逻辑电路,称为寄存器。 寄存器用于暂时存放二进制代码,它是数字系统中重要的部件之一。寄存器的主要组成部分是具有记忆功能的双稳态触发器。一个触发器可以存储一位二进制代码,所以要存放n位二进制代码,就需要n个触发器。 按照功能的不同,可将寄存器分为数码寄存器和移位寄存器两大类。 1. 数码寄存器 数码寄存器具有寄存数据和清除原有数据的功能。现以集成四位数据寄存器74LSl75来说明数据寄存器的电路结构和功能。74LSl75是用D触发器组成的四

位数据寄存器。它的逻辑图和管脚排列图如图7-11所示。 (a)逻辑图 (b)管脚排列图 图7-11 四位集成数码寄存器74LS175 74LS175的功能表见表7-11,CP 是时钟端,CR 是异步清零端,D 0~D 3是数据输入端,Q 0~Q 3是数据输出端。其功能如下。 表7-11 74LS175的功能表 ①异步清零。只要CR =0,就可使输出端清零,而与时钟无关。清零后,将 CR 接高电平,数据才能正常存人。 ②并行输入/输出。在CR =1的前提下,(将需要存人的四位二进制数据送到数据输入端D 0~D 3),在CP 脉冲上升沿的作用下,将D 0~D 3的数据并行存入Q 0~Q 3,同时也可取出存人的数码的反码。 ③记忆保持。当只CR =1且CP =0时,各触发器保持原状态不变,数据寄存器处于保持状态。 无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP 上升沿到来,加在并行数据输入端的数据D 0~D 3将立即被送入寄存器中,有 32103210Q Q Q Q D D D D

流水灯电路图和程序

流水灯电路图和程序 #include #include #define uchar unsigned char #define uint unsigned int uchar code led[]={0xfe,0xfb,0xfd ,0xf7,0xef,0xbf,0xdf,0x7f}; delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } main( ) { uint i; while(1) { P2=led[]; delay(500); _crol_(led,1); } } 用arm7做一个流水灯的设计。 悬赏分:100 - 解决时间:2009-9-3 20:03 试设计一个闪烁流水灯控制器,该控制器可以控制8个灯顺序亮灭,当按钮K按下1次后,每次顺序点亮一个灯。而且每个点亮的灯在闪烁3次后,才能灭,周而复始,直到按钮K 二次按下。(用状态机设计)

要用PROTEL99画好电路图。还要写好程序。画图的也只能发到我的邮箱里面吧。邮箱:。谢谢各位。 提问者:woxinruozai - 五级 最佳答案 从原理图可以看出,如果我们想让接在P1.0口的LED1亮起来,那么我们只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平就可以;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭方法方法同LED1。因此,要实现流水灯功能,我们只要将LED2~LED8依次点亮、熄灭,依始类推,8只LED变会一亮一暗的做流水灯了。 实现8个LED流水灯程序用中文表示为:P1.0低、延时、P1.0高、P1.1低、延时、P1.1高、P1.2低、延时、P1.2高、P1.3低、延时、P1.3高、P1.4低、延时、P1.4高、P1.5低、延时、P1.5高、P1.6低、延时、P1.6高、P1.7低、延时、P1.7高、返回到开始、程序结束。 从上面中文表示看来实现单片机流水灯很简单,但是我们不能说P1.0你变低,它就变低了。因为单片机听不懂我们的汉语的,只能接受二进制的“1、0......”机器代码。我们又怎样来使单片机按我们的意思去工作呢?为了让单片机工作,只能将程序写为二进制代码交给其执行;早期单片机开发人员就是使用人工编写的二进制代码交给单片机去工作的。今天,我们不必用烦人的二进制去编写程序,完全可以将我们容易理解的“程序语言”通过“翻译”软件“翻译”成单片机所需的二进制代码,然后交给单片机去执行。这里的“程序语言”目前主要有汇编语言和C语言两种;在这里我们所说的“翻译”软件,同行们都叫它为“编译器”,将“程序语言”通过编译器产生单片机的二进制代码的过程叫编译。前面说到,要想使LED1变亮,只需将对应的单片机引脚电平变为低电平就可以了。现在让我们将上面提到的8只LED流水灯实验写为汇编语言程序。 实现8个LED流水灯汇编语言源程序liu01.asm ;----- 主程序开始----- START: CLR P1.0 ;P1.0输出低电平,使LED1点亮 ACALL DELAY ;调用延时子程序 SETB P1.0 ;P1.0输出高电平,使LED1熄灭 CLR P1.1 ;P1.1输出低电平,使LED2点亮 ACALL DELAY ;调用延时子程序 SETB P1.1 ;P1.1输出高电平,使LED2熄灭 CLR P1.2 ;P1.2输出低电平,使LED3点亮 ACALL DELAY ;调用延时子程序 SETB P1.2 ;P1.2输出高电平,使LED3熄灭 CLR P1.3 ;P1.3输出低电平,使LED4点亮 ACALL DELAY ;调用延时子程序 SETB P1.3 ;P1.3输出高电平,使LED4熄灭 CLR P1.4 ;P1.4输出低电平,使LED5点亮 ACALL DELAY ;调用延时子程序

节日彩灯控制电路设计报告

设计报告书

多花型双节拍彩灯 摘要:彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,应用前景较为广泛。彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8路彩灯或彩灯串;(2)既可以向左(逆时针)移动,也可以向右(顺时针)移动,还可以左右交替移动;(3)彩灯起始状态可以预置;(4)移动速度和左右交替速度可调节;(5)控制电路与负载(可以直接使用交流220V市电的彩灯)完全隔离。 关键词:彩灯控制器、速度可调、市电彩灯

目录 一、作品设计要求 (3) 1.设计要求 (3) 2.设计步骤 (3) 3.报告要求 (3) 二、作品设计原理分析 (3) 1.系统设计框图 (3) 2.分模块电路原理分析 (4) 三、作品调试及仿真 (8) 1.作品调试 (8) 2.作品仿真 (8) 四、作品设计制作总结 (15) 1.设计总结 (15) 2.创新改进意见 (16) 五、参考文献 (17) 附录1:系统电路总图 (18)

多花型双节拍彩灯 一、作品设计要求 1.设计要求: (1)设计一个八路彩灯,要以0.25秒和0.5秒两种节拍运行。 (2)三种不同的花型,自行设计。 2.设计步骤: (1)要有设计框图、采用层次的设计,分模块设计。 (2)原理图法和文本法均可。 (3)仿真(功能仿真和时序仿真) 3.报告要求: (1)将完成的设计原理图和分层的设计文件总结出来。 (2)说明实验结果,从.RPT中抄写资源使用情况。 (3)打印出传真泼型。说明设计结果。 (4)总结经验教训。提出建设性的意见。 二、作品设计原理分析 1.系统设计框图 经过多种设计方案的比较和论证,最终选择了以双向移位寄存器74LS194芯片为核心的设计方法。系统设计框图如下: 图1 系统设计框图

数字电路流水灯设计书

电子工程学院课外学分申请书题目:数字电路流水灯设计 班级:***** 姓名:****** 学号:***** 专业:自动化 实验室:开放实验室 设计时间:2013年3月10日——2013年6月30日审批意见:指导老师:*****

1.数字电路流水灯设计方案 1.1采用555定时器接成的多谐振荡器。 555定时器是多用途的数字—模拟混合集成电路,利用它能极方便的构成施密特触发器,单稳态触发器和多谐振荡器,使用灵活,方便。555定时器在波形产生和交换,测量与控制中应用广泛成熟准确 。 1.2 采用三极管多谐振荡器 三极管多谐振荡器是一种矩形脉冲产生电路,这种电路不需外加触发信号,便能产生一定频率和一定宽度的矩形脉冲,常用作脉冲信号源。由于矩形波中含有丰富的多次谐波,故称为多谐振荡器。多谐振荡器工作时,电路的输出在高、低电平间不停地翻转,没有稳定的状态,所以又称为无稳态触发器。 1.3方案比较 555定时器接成的多谐振荡器产生的时钟信号驱动能力较强,555通过改变R和C的参数就可以改变振荡频率,电路参数容易确定,使用简单,信号稳定,调试方便,而三极管多谐振荡器,不易调试,输出信号驱动能力不强且信号不够稳定,故选用555定时器接成的多谐振荡器作为系统的时钟源。 2.基本原理 本次项目中使用1片4位同步二进制计数器74LS161,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。 与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下: 8个LED以共阳接法分别接于Y0—Y7,依次点亮,其亮灭频率由555定时器产生的时钟频率为准。 3 电路图

花样流水灯设计

单片机课程设计 2014年 6月 15日 课 程 单片机课程设计 题 目 花样流水灯 院 系 电气工程及其自动化系 专业班级 1112班 学生姓名 温亿锋 学生学号 201111631227 指导教师 张瑛

一丶任务 设计一款以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路。 二丶设计要求 通过发光二极管显示不同的花样(至少有六种花样),并且可以通过按键来控制流水灯的速度。 三丶设计方案 本方案主要是通过对基于单片机的多控制、多闪烁方式的LED流水灯循环系统的设计,来达到本设计的要求。其硬件构成框图如下图所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED 发光二极管和5V直流电源组成。 单片机流水灯循环控制系统硬件框图 此设计方案中单片机的P1口接5路按键控制电路,实现流水灯花型的切换功能;单片机的P3.7引脚接上一个按钮开关以实现对流水灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接八路LED发光二极管组成流水灯电路,显示流水灯循环情况。 四丶系统硬件设计 4.1 直流稳压电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分。本项目直流稳压电源为+5V。 直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳压电源、线性集成稳压电源和开关稳压电源。下图为稳压电源采用的是三端集成稳压器7805构成的正5V直流电源。 三端固定式集成稳压电源电路图 AT89C51单片机的工作电压范围:4.0V---5.5V,所以通常给单片机外接5V 直流电源。此处用3节1.5V的干电池供电。 4.2 单片机最小系统 要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复位电路等组成,单片机最小系统如图所示。 时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz 石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。 复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单片机系统的复位方式有上电自动复位和手动按键复位。本设计采用手动按键复位,该复位方式同样具有上电自动复位功能。

相关文档
最新文档