半导体英文名词解释

半导体英文名词解释
半导体英文名词解释

名词解释--英文版

来源: 半导体技术天地

AFM

Atomic Force Microscope: AFM is a non-destructive surface topography analysis technique that provides surface topographic image with a resolution in order of angstroms. A very sharp silicon tip with a radius in the order of ~10A is scanned close to the sample surface to get surface topology. AFM is a powerful tool to investigate surface roughness of silicon wafer under the gate dielectric.

ALD

Atomic Layer Deposition: Closely related to conventional CVD, ALD is a thin film deposition technique that deposits very thin films with an excellent film uniformity and conformality. Single crystal semiconductor films such as Si, Ge and GaAS, metallic films such as tungsten and copper, and dielectric films such as oxides and nitrides can be deposited with ALD. Also known as ALCVD.

APCVD

Atmospheric-pressure Chemical Vapor Deposition (see also CVD).

APM

A mixture of Ammonia hydroxide, hydrogen Peroxide and water used for cleaning wafer surface primarily to remove organic particles.

ARC

Anti-reflective Coating: a thin layer of dielectric film, such as SiN, coated over the wafer surface to improve lithography resolution by reducing light scatter from the wafer surface

ArF

ArF is a source of an excimer laser that produces high power laser at a wavelength of 193nm. ASIC

Application Specific Integrated Circuits: As opposed to general purpose IC’s, ASIC is designed and produced for a certain specific application. Also known as custom IC’s.

BEOL

Back End of the Line: BEOL typically refers to processing steps that involve ILD deposition, contacts and metal interconnects. See FEOL.

BiCMOS

BiCMOS refers to circuits and process technology that offer both bipolar junction transistors (BJT) and CMOS transistors on the same chip. BiCMOS combines high current driving capability of BJT and low power consumption of CMOS. BJT is typically used to drive a large capacitive load while CMOS transistors are used to perform logic functions. BiCMOS technology is more complex and more expensive than CMOS technology, and typically serves niche markets.

Bird’s Beak

During LOCOS (local oxidation of silicon) oxidation, two-dimensional oxidation occurs at the edge of field oxide. As a result, oxidation extends into the active area at the surface underneath the silicon nitride, forming a bird’s beak. Because of bird’s beak, the effective area of the active region is reduced. In the bird’s beak region of gate oxide, oxide thinning can occur due to Kooi effect (also known as “white ribbon” effect). See Kooi effect.

Bipolar Junction Transistor: BJT has three parts; collector, base and emitter. In a vertical BJT, the emitter is the most heavily doped region and the collector the least heavily doped. “Bipolar” refers to the fact that two types of charge carriers (electron and hole) contribute to the current flow, one being the majority carrier and the other the minority carrier. In an NPN BJT, electron is the majority carrier. In a PNP BJT, hole is the majority carrier. In contrast, MOSFET is a “unipolar” device in the sense that only one type of charge carrier is responsible for the current flow (See MOSFET). BJT is characterized by high current gain and high switching speed. However, its large transistor size and high power consumption limit its use in very large scale integration. BJT transistor effect was first discovered by three Bell Labs researchers in 1947. This discovery led them to winning the Nobel Prize in physics in 1956. To learn more about the history of transistors, visit the following site.

BMD

Barrier Metal Deposition: Barrier metal is a thin metallic film deposited in cotacts, via and under the metal interconnects. The purpose of barrier metal is to prevent tungsten from reacting with material underneath during contact fill process or to serve as a diffusion barrier to Cu interconnects. TiN, TiW and TaN are the typical barrier material.

BOE

Buffered Oxide Etch: Silicon dioxide etching solution made of a mixture of NH4F, HF and H2O. BPSG

Borophosphosilicate Glass: BPSG oxide is typically used in the back end of the flow in the semiconductor process to passivate the surface and to provide smooth topology. The oxide is doped with boron and phosphorus, which gives BPSG a gettering capability of sodium or metallic mobile ions, and allows it to flow at a lower temperature.

BPTEOS

Borophosphosilicatetetraethylorthosilicate: BPTEOS is a BPSG film produced using TEOS instead of silane (SiH4) that is used in a more conventional BPSG film. BPTEOS produces

void-free dense film (see also TEOS).

HBSIM

Berkeley Short-channel IGFET Model: BSIM is a MOSFET model developed by researchers at EE department of University of California, Berkeley for MOSFET circuit simulation. BSIM is one of the most widely used device models for circuit simulation today.

BST

Barium Strontium Titanate (BaSr)TiO3: a high k dielectric material with dielectric constant in the range of 160-600 used in DRAM storage capacitors.

BTS

KBiased Thermal Stress: BTS is one of the important techniques to evaluate the reliability of dielectric film. In a BTS test, an oxide capacitor is put under an accelerated voltage stress at a high temperature and electrical parameters, such as flat band voltage, are measured as stress progresses. CCD

Charge Coupled Device: CCD uses a packet of charge (electrons or holes) that is transferred along the surface of semiconductor underneath the electrodes under control of clock signals. Signal processing is performed during charge transfer or at the output. Imaging is one of the most important applications of CCD, such as image sensor in the video cameras.

Critical Dimension: CD is the feature size defined by lithography or etch process. Usually, it refers to the minimum feature size for a particular layer in semiconductor processing. Feature size defined by litho process is commonly called DICD, and the final feature size after etch is commonly called FICD.

CMOS

Complementary MOS: CMOS refers to circuits and process technology that provide both P-ch and N-ch MOSFET on the same chip. In CMOS circuits, P-ch MOSFET acts as an active load and

N-ch MOSFET acts as a pull-down driver. In a steady state, CMOS circuits consume extremely low DC power because there is no DC current path from the power supply (Vcc) to the ground (Vss), making CMOS an ideal candidate for a large scale integration. In CMOS technology, P-ch MOSFETs are formed in N-well and N-ch MOSFETs in P-well. This is called “twin-well” CMOS technology. CMOS technology has become the mainstream technology in today’s IC industry for most of logic and memory products (see Technology section of this Web site).

CMP

Chemical Mechanical Polishing (or Planarization): CMP is a semiconductor fabrication process to planarize wafer surface. Wafer surface is grinded by a rotating disc with chemical slurries aiding polishing process. Metals such as tungsten, aluminum, copper, and dieletrics such as oxide, and poly-silicon are polished by CMP. In today’s advanced CMOS process, CMP is used to planarize the surface after STI trench fill and for ILD planarization after metal interconnect gap fill.

COP

Crystal Originated Pits: COP is caused mainly by voids at the wafer surface. While epitaxial wafers are COP free, COP is common with non-epitaxial silicon wafers. COP can have a negative impact on gate oxide integrity. Argon-annealing of wafers have shown a significant reduction of COP.

CVD

Chemical Vapor Deposition is a technique to deposit thin films on a silicon wafer. In a CVD process, two or more gaseous materials go through a chemical reaction in a CVD reactor chamber. As a result of chemical reaction, dielectric molecules form and subsequently are deposited on the wafer surface. Common dielectric films deposited by CVD are SiO2, Si3N4, SiON. Many different types of CVD technique are available today, based on reactor type, and process conditions, such as pressure and plasma. These include LPCVD, APCVD, PECVD, HDPCVD and MOCVD.

Denuded Zone

Denuded zone is a thin layer of silicon at the top surface of a wafer that is free of defects and contaminants. It is created after a wafer goes through a “gettering” process. Denuded zone is where the semiconductor devices are formed.

DHF

Diluted HF: HF diluted in water typically with a ratio of 100:1 (H2O:HF)

DIBL

Drain-induced Barrier Lowering: In a short-channel MOSFET, the potential barrier between the source and drain is lowered when drain electric field is high and penetrates toward the source. This effect is called DIBL. DIBL increases off-state leakage current and causes drain-to-source punch-through. DIBL is one of the main limiting factors in the scaling of short-channel transistors.

Deep Level Transient Spectroscopy: Deep level transient spectroscopy measures deep trap levels in semiconductors. The method is based on the capacitance change of a reverse biased diode when deep levels emit their carriers after they are charged by forward bias pulse.

DMOS

Double-diffused MOS: In N-ch DMOS, channel length is determined by the difference in diffusivity of p-type dopant that forms the channel and n-type dopant that forms the source. The region outside the p-type channel is an n-type drift region. In DMOS, a short channel length can be achieved with a relatively long gate length.

Dual-Gate CMOS (also see CMOS)

In today’s advanced CMOS technology, gate poly-silicon for N-ch and P-ch MOSFET is doped in n+ and p+, respectively. This is called dual-gate CMOS. In dual-gate CMOS technology, both

N-ch and P-ch transistors operate in a surface channel mode. Surface-channel transistors are less prone to punch-through, and are easier to scale than the buried-channel transistors.

DRAM

Dynamic Random Access Memory: a charge storage capacitor and an access transistor comprise a DRAM cell. Data is stored in the storage capacitor and is accessed through the access transistor, which is typically an N-ch MOSFET. DRAM cell needs a periodic refreshing to keep the data from being lost due to leakage. Data stored in DRAM is lost when power goes off. Two main types of storage capacitors used in the industry are stacked capacitor and trench capacitor.

DUV

Deep Ultraviolet Lithography: DUV refers to a lithography generation that uses DUV light with wavelength of 248nm. DUV light is obtained from KrFexcimer laser. The name DUV is used to distinguish it from other generations; i-line with wavelength of 356nm, g-line with wavelength of 436nm or future generation of 193nm and 157nm.

EOT

Equivalent Oxide Thickness: For high k dielectric, or staked gate oxide, its electrical thickness is converted to the equivalent SiO2 thickness for comparison purposes between different materials. EPROM

Erasable Programmable Read Only Memory: In an EPROM memory cell, programming is done electrically by hot carrier injection. Electrons generated by impact ionization tunnel through the tunnel oxide, then are trapped in the floating gate poly-silicon. Erase is performed with shining ultraviolet light on the memory chip, which clears trapped electrons out of the floating gate. EEPROM

Electrically Erasable Programmable Read Only Memory: In EEPROM, erase is performed electrically byte-by-byte on chip. This electrical erase capability is convenient but the memory cell size is larger than EPROM, and as a result, EEPROM memory has lower density and higher price than EPROM.

ELSI

Extremely Large Scale Integration: A term used to indicate the level of integration. ELSI refers to a higher level of integration than ULSI. Below ULSI, in a descending order, follow VLSI, LSI, MSI and SSI.

EM

Electro-Migration: Current flow in metal interconnects such as aluminum and copper creates

momentum transfer from electron to aluminum or to copper atoms. This causes metal atoms to migrate in the direction opposite to current flow, resulting in an increase in metal resistance. In an extreme situation, voids can form in the metal interconnects as a result of EM. EM is a serious reliability issue for metal interconnects.

EOS

Electrical Overstress: refers to an electrical stress on semiconductor devices on a chip that is over the electrical specification limit. Electrical signal overshoots in the input or output pins and ESD (electrostatic discharge) are common examples of EOS.

EBL

Electron Beam Lithography: a maskless lithography using electron beams to pattern photoresist. EPL

Electron-beam Projection Lithography

ESD

Electrostatic Discharge: When electrostatic charge stored on the human body or machine tools is discharged through a semiconductor chip, it can create damages to the circuits and devices on the chip. This is called ESD damage. Input and output pins of IC chips are vulnerable to ESD damages. Robustness of semiconductor chip against ESD damage is evaluated using test methods based on several ESD models; human body model, charged device model and machine tool model. ESL

Etch Stop Layer: typically a thin SiN film, ESL film is deposited on the wafer after the silicidation process of source, drain and gate poly is complete. ESL provides necessary etch selectivity during subsequent contact etch process.

EUV

Extreme Ultraviolet Lithography; EUV is one of the next generation lithography (NGL) candidate technologies. EUV uses a light with wavelength of around 10nm-20nm. EUV LLC is an US industry-government consortium that carries out EUV research.

FAMOS

Floating-gate Avalanche MOS: refers to a non-volatile memory cell structure, where electrons are generated by drain avalanche breakdown, then get trapped in the floating gate.

FEOL

Front-end of the line: usually refers to process steps from wafer start to completion of transistor formation prior to first ILD deposition.

Flash Memory

A type of nonvolatile memory where charge is stored in the floating gate or at the oxide-nitride interface. Data is preserved even when the power is off. For details, click "Memory", then see Nonvolatile section.

FRAM

Ferro-electric Random Access Memory

FTIR

Fourier Transform Infrared Spectroscopy: FTIR detects impurity levels in materials used in semiconductor processing. Infrared spectroscopy is based on transmission and absorption characteristic of excited electrons from impurities in the sample material. With Fourier transformation of signals, a high sensitivity in impurity detection is achieved.

GIDL

Gate-induced Drain Leakage: a leakage current generated by tunneling of carriers between valence band and conduction band. GIDL occurs at the gate-to-drain overlap region where a high drain voltage causes a large band bending.

HCI

Hot Carrier Injection (see HCE, hot carrier effect)

HCE

hot carrier effect. Refers to the effect of high energy electrons or holes generated as a result of impact ionization at the drain side of the channel. These hot carriers are subsequently injected into the gate oxide, causing device degradation. HCE is one the key reliability concerns for the

short-channel MOSFET’s.

Halo Implant

Halo implant is an implant with a tilt angle used to create a non-uniform channel doping profile in a MOSFET. Halo implant is effective to control the short-channel effect. Today’s deep

sub-micron transistors typically use halo implant to improve short-channel characteristics.

HBT

Heterojunction BJT

HDP

High Density Plasma

HPM

Hyrdo-peroxide Mixture

IC

Integrated Circuits:

IDM

Integrated Device Manufacturer, as opposed to fabless company or foundry. IDMs design IC products, produce them in their fabs and sell them to the market under their brand names.

ILD

Interlevel Dielectric: dielectric between two different levels of interconnect

IMD

Inter-Metal Dielectric: same as ILD

IMEC

Interuniversity Microelectronic Center: a research organization located in Leuven, Belgium. IMEC perfoms contract research on advanced semiconductor technologies.

ISMT

International Semiconductor Manufacturing Technology: an industry consortium formed to carry out research projects for the member companies. Formerly SEMATECH, it changed its name to ISMT after it accepted membership from non-U.S. companies.

JFET

Junction Field Effect Transistor

Kooi Effect

Also called the “white ribbon effect”, Kooi effect refers to the phenomena of oxide thinning at the edge of the active area after LOCOS isolation process. According to a model proposed by Kooi, et al., ammonia gas generated during LOCOS oxidation diffuses under silicon nitride LOCOS mask to the Si-SiO2 interface and forms silicon nitride layer at the Si-SiO2 interface near the silicon nitride LOCOS mask edge. Unless this locally nitrided region is completely removed before a

subsequent gate oxidation step, a significant oxide thinning can occur in the gate oxide along the edge of the active area.

KrF

KrF is a source of an excimer laser that produces high power laser at a wavelength of 248nm. Return to Top

Latch up

Latch up is a self-sustaining low impedance state between the p-type junction and n-type junction in a p-n-p-n structure. This p-n-p-n structure acts as a pair of coupled bipolar junction transistors (one PNP, the other NPN BJT.) Latch up is triggered by forward-biasing one of the p-n junctions that serves as a base-to-emitter junction. Once triggered and if the conditions are met to sustain the latch up, the p-n-p-n structure enters a low impedance state drawing a large current. The latch up is sustained by a positive feedback between the two BJT’s. Latch up is a serious concern for CMOS circuits but it can be suppressed by proper layout and process techniques such as using guard rings, use of epi wafer or retrograde wells.

LATID

Large Angle Tilt Implanted Drain. A method to form a drain and source junctions of MOSFET using a large angle tilt implant. LATID increases the gate-to-drain overlap, which helps reduce HCI effect.

LOCOS

Local Oxidation of Silicon: device isolation technique used in older generation of technologies before STI was used. Silicon nitride on a wafer surface is patterend, then the wafer undergoes oxidation. Wafer surface area with no nitride on it gets oxidized, forming a thick field oxide there. LPCVD

Low Pressure CVD

LDMOS

Laterally Diffused MOS

MEOL

Middle of the Line: typically refers to processing steps that forms transistors and silcides

MERIE

Magnetically Enhanced Reactive Ion Etch

MOCVD

Metal Organic CVD

Moore’s Law

Intel co-fo under Gordon Moore’s observation on technology innovation. According to his observation, the number of transistors on a chip doubles every two years as a result of technology scaling.

MOSFET

MOSFET is for Metal-Oxide-Semiconductor Field-Effect-Transistor. The name is derived from the vertical structure of the transistor. Metal serves as a gate electrode, oxide refers to a thin gate dielectric (silicon dioxide) separating the gate electrode and silicon substrate. MOSFET is a four terminal device: drain, source, gate and substrate. The channel is formed at the gate oxide and silicon substrate interface by the electric field created between the gate and substrate. As a result, current flows between source and drain though the channel. In early days, gate electrode was formed with aluminum, hence the name “metal”. In today’s so-called silicon gate technology,

poly-silicon is used as a gate electrode. In N-ch MOSFET, electrons flow in the channel while in P-ch MOSFET, holes do.

MRAM

Magnetoresistive RAM

NBTI

negative bias thermal instability is caused by hydrogen or water molecule in the gate oxide. With very thin gate gate oxide, NBTI can be a serious problem, especially for P-ch MOSFET. One of the theories for the mechanism of Vt instability under negative bias at high temperature is

hole-assisted Si-H or Si-B bond dissociation through electrochemical reaction. Positive fixed charges and donor type interface traps are created as a result.

NGL

Next Generation Lithography

OED

Oxidation Enhanced Diffusion: During oxidation of silicon, silicon intersititials or vacancies are created and these inturn enhance dopant diffusion in the surrounding area.

OPC

Optical Proximity Correction

PECVD

Plasma Enhanced CVD

PETEOS

Plasma Enhanced TEOS (see also TEOS)

PID

Plasmas induced damage: Deposition and etch processes that rely on high density plasma, such as PECVD, HDP oxide, can create damage to gate dielectrics, causing reliability problems.

PMD

Pre-Metal Dielecric

PROM

Programmable Read Only Memory

PSM

Phase Shift Mask

RAM

Random Access Memory

RET

Resolution Enhancement Technique

Retrograde channel (also retro-grade well)

Channel doping profile that decreases in doping level going into the silicon, then increases in doping level deep below the channel surface.

ROM

Read Only Memory

RSCE

reverse short-channel effect: typically refers to an increase of MOSFET threshold voltage (Vt) with decreasing gate length. This is opposite to the conventional short-channel effect, where Vt decreases with decreasing gate length.

RTA

Rapid Thermal Anneal

RTO

Rapid Thermal Oxidation

RTP

Rapid Thermal Processing

SCE

short-channel effect: refers to the degradation of transistor performance as gate length decreases.

A typical short-channel effect is the decrease of Vt with decreasing gate length. This effect is caused by charge sharing between gate and source/drain

SCR

Semiconductor Controlled Rectifier

SDE

Source Drain Extension: a short overlap region between gate and source or drain. The junction depth of SDE is made smaller than the heavily doped source and drain regions to improve

short-channel effect.

SEG

Selective Epitaxial Growth

Self-aligned MOSFET

Self-aligned MOSFET refers to the fact that in silicon gate technology, source and drain are

self-aligned to the gate, thus eliminating concern for misalignment between active (source/drain) and gate.

SEMATECH

An industry consortium formed by U.S. companies. SEMATECH performs advanced research for member companies. Later became ISMT.

SEMI

Semiconductor Equipment and Material Institute

SER

Soft Error Rate: When an alpha particle hits a memory cell, the stored data can be lost. The rate of this event is called the soft error rate.

SIA

Semiconductor Industry Association

SILC

Stress-induced Leakage Current

SIMOX

Separation by Implanted Oxide: A method to produce SOI wafer. A high dose oxygen is implanted into a silicon wafer. The wafer then undergoes a high temperture thermal cycle, during which implanted oxygen reacts with silicon forming a buried oxide.

SIMS

Secondary Ion Mass Spectroscopy: a material composition analysis technique

SOC

System-on-a-Chip: An IC chip that contains high density of logic circuit and various types of memory that provides functionality of a large system.

SOD

Spin-on Dielectric: see SOG

SOG

Spin-on Glass: Spin-on glass: SOG is an oxide film deposited on a wafer during the back end of the process flow to achieve a better planarization of wafer surface. SOG material is a liquid solution containing siloxane or silicate-based monomers dissolved in various kinds of solvents in liquid form. To form SOG film, a wafer is coated with SOG material, and is spun to get thin uniform thickness. After curing of film to a temperature of about 300 to 400°C, SiO2 film is formed.

SOI

silicon-on-insulator, devices are built in thin Si film lying over the buried oxide. Starting material is either SIMOX or bonded wafer. PDSOI and FDSOI, floating body effect

SONOS

semiconductor-ONO-semiconductor: A type of non-volatile memory charge storage structure compring poly-silicon gate, ONO film and silicon substrate. Charges injected into the

oxide-nitride interface are trapped there then later detrapped by tunneling or carrier recombination, providing program and erase functionality.

SPE

Solid Phase Epitaxy

SRAM

Static Random Access Memory: two CMOS inverters are cross-coupled with two access transistors attached to them. Unlike DRAM, refreshing is not necessary. For details, click "Memory" and see SRAM section.

SRP

Spreading Resistance Profiling: A dopant concentration profiling technique that measures spreading resistance as a function of silicon depth, then converts it to the activated dopant concentration in a silicon.

STI

Shallow Trench Isolation: STI is used to isolate devices on a wafer, separating the components so that they do not electrically interfere with one another. In STI process, a stack of thin pad oxide and a SiN film is deposited and patterned, then silicon is etched in the patterned area. Oxidation is then performed to grow a liner oxide over the trench sidewall, followed by filling of trench with deposited oxide. Oxide deposition is typically done by LPCVD or HDP. After trench fill, oxide is polished back by CMP process. STI allows tighter isolation, flatter surface topology and a smaller active area loss than LOCOS. STI is almost exclusively in today’s advanced semiconductor process.

STM

Scanning Tunneling Microscope

Strained silicon

Mechanical stress from various sources in a chip causes strain to the silicon crystal lattice. Electron and hole mobility change as a function of magnitude and type of strain. Strained silicon

is a promising technique to enhance carrier mobility for sub-100nm technologies.

SUPREM

Process simulation program developed by the researchers at the Stanford University

Return to Top

TCAD

Technology Computer Aided Design

TDDB

Time Dependent Dielectric Breakdown: Gate oxide reliability and lifetime is evaluated using TDDB by applying high electric field to the oxide and observing fail rate as a function of stress time.

TED

Transient Enhanced Diffusion: TED refers to the fact dopants in the silicon wafer diffuses at a much faster rate in very short time at the beginning of thermal cycle.

TEM

Transmission Electron Microscope

TEOS

tetraethylorthosilicate [Si-(OC2H5)4]: TEOS is a material commonly used to deposit oxide film on the wafer. Oxide film deposited by CVD using TEOS offers excellent conformality. It is liquid at room temperature but its gaseous form is used during CVD process

TFT

Thin Film Transistor: TFT is formed on a thin polycrytalline film deposited on a dielectric substrate.

TTL

Transistor Transistor Logic: Logic IC fabricated with bipolar junction transistors with 5V supply voltage. The name derives from the fact that both pull-up and pull-down devices are transistors. Integration levels range from SSI to MSI.

ULSI

Ultra Large Scale Integration: A level of integration that is higher than VLSI but lower than ELSI USJ

Ultra Shallow Junction

Van der Pauw

A specially designed test structure which is used to measure sheet resistance of conducting layer VLSI

Very Large Scale Integration: A level of integration that is higher than LSI but lower than ULSI VMOS

Vertical MOS; VMOS uses preferential etching of (100) silicon to form a V-shaped groove at the surface of a wafer. Drain and source are formed at the top and bottom of the V-groove, with

V-groove slope used as a gate.

WSI

Wafer Scale Integration: An attempt to build IC on an entire wafer

系解名词解释

名词解释 1.标准解剖学姿势(anatomical position):人体直立,两眼平视,上肢下垂,手掌向前,两足并拢,足尖向前。 2.胸骨角(sternal angle):胸骨柄与胸骨体连接处微向前突的部分成为胸骨角,两侧平对第二肋,胸骨角向后平对第四胸椎体下缘,是计数肋的重要标志。 3.翼点(pterion):颞窝前下部较薄,在额、顶、颞、蝶骨的会合处最为薄弱,此处常形成H形的缝,成为翼点,其内面有血管沟,有脑膜中动脉的前支通过,骨折时易伤及此动脉,是是临床上X线检查的部位。 4.椎间盘(intervertebral disc):是连接相邻两个椎体的纤维软骨盘(第1及第2颈椎之间除外),由周围的纤维环和中央的髓核构成。 5.喙肩韧带:为三角形的扁韧带,连于肩胛骨的喙突与肩峰之间,它与喙突、肩峰共同构成喙肩弓,防止肩关节向上脱位。6.斜角肌间隙(scalene fissure):前、中斜角肌与第1肋之间的间隙为斜角肌间隙,有锁骨下动脉和臂丛神经通过。 7.咽峡(isthmus of fauces):腭垂、腭帆游离缘、两侧的腭舌弓及舌根共同围成咽峡。 8.肝门(porta hepatis):脏面中部有略呈“H”形的三条沟,其中横行的沟位于脏面正中,有肝左、右管,肝固有动脉左、右支,肝门静脉左、右支,和肝的神经、淋巴管等由此出入,故

称肝门。出入肝门的这些结构被结缔组织包绕,构成肝蒂。9.Calot三角(或胆囊三角):胆囊管、肝总管和肝的脏面围成的三角形区域成为胆囊三角,三角内常有胆囊动脉通过,因此该 三角是胆囊手术中寻找胆囊动脉的标志。 10.弹性圆锥:又称环声膜,是圆锥形的弹性纤维膜。其余甲状软骨前角后面,呈扇形向后、向下止于杓状软骨声带突和环状软 骨上缘。其上缘游离增厚,紧张于甲状软骨与声带突之间,称 声韧带,较前庭韧带厚而短。声韧带连同声带肌及覆盖于其表 面的喉粘膜一起,成为声带。弹性圆锥中部弹性纤维增厚称环 甲正中韧带(急性喉阻塞时,为抢救病人生命可在环甲正中韧 带处进行穿刺,以建立暂时性通气道。当紧急切开动脉圆锥进 行抢救时,注意切勿损伤环甲动脉吻合弓)。 11.声带(vocal cord):由声韧带、声带肌和喉粘膜构成。声门裂是位于两侧声襞及杓状软骨底和声带突之间的裂隙比前庭裂长 而窄,是喉腔最狭窄之处。声带和声门裂合称为声门(glottis)。12.气管隆嵴:在气管杈的内面,有一矢状位向上的半月状嵴称气管隆嵴,略偏向左侧,是支气管镜检查时判断气管分叉的重要 标志。(异物坠落偏向左侧) 13.肺门(hilum 0f lung):纵膈面即内侧面,与纵膈相邻,其中央为椭圆形凹陷,称肺门,肺门为支气管、肺动脉、肺静脉、

半导体名词解释

1. 何谓PIE PIE的主要工作是什幺 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义 答:8吋硅片(wafer)直径为200mm , 直径为300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低200→300 面积增加倍,芯片数目约增加倍 5. 所谓的um 的工艺能力(technology)代表的是什幺意义 答:是指工厂的工艺能力可以达到um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从>>>> 的technology改变又代表的是什幺意义 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从-> -> -> -> 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓N, P-type wafer 答:N-type wafer 是指掺杂negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module) 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

大学英语语言学期末考试名词解释和论述答案

名词解释 https://www.360docs.net/doc/f218364498.html,petence and Performance: The distinction is discussed by the American linguist N. Chomsky in the late 1950’s. Competence----the ideal user’s knowledge of the rules of his language. Performance----the actual realization of this knowledge in linguistic communication. (American linguist N. Chomsky in the late 1950’s proposed the distinction between competence and performance. Chomsky defines competence as the ideal user’s knowledge of the rules of his language. This internalized set of rules enables the language user to produce and understand an infinitely large number of sentences and recognize sentences that are ungrammatical and ambiguous. According to Chomsky, performance is the actual realization of this knowledge in linguistic communication. Although the speaker’s knowledge of his mother tongue is perfect, his performances may have mistakes because of social and psychological factors such as stress, embarrassment, etc.. Chomsky believes that what linguists should study is the competence, which is systematic, not the performance, which is too haphazard. ) 2.Sociolinguistics: is the sub-field of linguistics that studies the relation between language and society, between the uses of language and the social structures in which the users of language live.( It is a field of study that assumes that human society is made up of many related patterns and behaviors, some of which are linguistic.) https://www.360docs.net/doc/f218364498.html,nguage Acquisition: refers to t he child’s acquisition of his mother tongue, i.e. how the child comes to understand and speak the language of his community. (Language acquisition is concerned with language development in humans. In general, language acquisition refers to children’s devel opment of their first language, that is, the native language of the community in which a child has been brought up.) 4.the Sapir-Whorf hypothesis: The Sapir-Whorf hypothesis is a theory put forward by the American anthropological linguists Sapir and Whorf (and also a belief held by some scholars). It states that the way people view the world is determined wholly or partly by the structure of their native language. (2) The Sapir-Whorf hypothesis consists of two parts, i.e. linguistic determinism and relativism. Whorf proposed first that all higher levels of thinking are dependent on language. Or put it more bluntly, language determines thought, i.e. the notion of linguistic determinism. Because languages differ in many ways, Whorf also believed that speakers of different languages perceive and experience the world differently, i.e. relative to their linguistic background, hence the notion of linguistic relativism. 5.Phrase structure rule: The grammatical mechanism that regulates the arrangement of elements that make up a phrase is called a phrase structure rule, such as: NP →(Det) + N +(PP)……e.g. those people, the fish on the plate, pretty girls. VP →(Qual) + V + (NP)……e.g. always play games, finish assignments. AP →(Deg) + A + (PP)……very handsome, very pessimistic, familiar with, very close to PP →(Deg) + P + (NP)……on the shelf, in the boat, quite near the station.

半导体物理之名词解释

1.迁移率 参考答案: 单位电场作用下,载流子获得的平均定向运动速度,反映了载流子在电场作用下的输运能力,是半导体物理中重要的概念和参数之一。迁移率的表达式为:* q m τμ= 可见,有效质量和弛豫时间(散射)是影响迁移率的因素。 影响迁移率的主要因素有能带结构(载流子有效质量)、温度和各种散射机构。 n p neu peu σ=+ 2.过剩载流子 参考答案: 在非平衡状态下,载流子的分布函数和浓度将与热平衡时的情形不同。非平衡状态下的载流子称为非平衡载流子。将非平衡载流子浓度超过热平衡时浓度的部分,称为过剩载流子。 非平衡过剩载流子浓度:00,n n n p p p ?=-?=-,且满足电中性条件:n p ?=?。可以产 生过剩载流子的外界影响包括光照(光注入)、外加电压(电注入)等。 对于注入情形,通过光照或外加电压(如碰撞电离)产生过剩载流子:2i np n >,对于抽取情形,通过外加电压使得载流子浓度减小:2i np n <。 3. n 型半导体、p 型半导体 N 型半导体:也称为电子型半导体.N 型半导体即自由电子浓度远大于空穴浓度的杂质半导体.在纯净的硅晶体中掺入五价元素(如磷),使之取代晶格中硅原子的位置,就形成了N 型半导体.在N 型半导体中,自由电子为多子,空穴为少子,主要靠自由电子导电.自由电子主要由杂质原子提供,空穴由热激发形成.掺入的杂质越多,多子(自由电子)的浓度就越高,导电性能就越强. P 型半导体:也称为空穴型半导体.P 型半导体即空穴浓度远大于自由电子浓度的杂质半导体.在纯净的硅晶体中掺入三价元素(如硼),使之取代晶格中硅原子的位子,就形成P 型半导体.在P 型半导体中,空穴为多子,自由电子为少子,主要靠空穴导电.空穴主要由杂质原子提供,自由电子由热激发形成.掺入的杂质越多,多子(空穴)的浓度就越高,导电性能就越强. 4. 能带 当N 个原子处于孤立状态时,相距较远时,它们的能级是简并的,当N 个原子相接近形成晶体时发生原子轨道的交叠并产生能级分裂现象。当N 很大时,分裂能级可看作是准连续

半导体物理名词解释

半导体物理名词解释

1.单电子近似:假设每个电子是在周期性排列且固定不动的原子核势场及其他电子的平均势场中运动。该势场是具有与晶格同周期的周期性势场。 2.电子的共有化运动:原子组成晶体后,由于电子壳层的交叠,电子不再完全局限在某一个原子上,可以由一个原于转移到相邻的原子上去,因而,电子将可以在整个晶体中运动。这种运动称为电子的共有化运动。 3.允带、禁带: N个原子相互靠近组成晶体,每个电子都要受到周围原子势场作用,结果是每一个N度简并的能级都分裂成距离很近能级,N个能级组成一个能带。分裂的每一个能带都称为允带。允带之间没有能级称为禁带。 4.准自由电子:内壳层的电子原来处于低能级,共有化运动很弱,其能级分裂得很小,能带很窄,外壳层电子原来处于高能级,特别是价电子,共有化运动很显著,如同自由运动的电子,常称为“准自由电子”,其能级分裂得很厉害,能带很宽。 6.导带、价带:对于被电子部分占满的能带,在外电场的作用下,电子可从外电场中吸收能量跃迁到未被电子占据的能级去,形成了电流,起导电作用,常称这种能带为导带。下面是已被价电子占满的满带,也称价带。 8.(本证激发)本征半导体导电机构:对本征半导体,导带中出现多少电子,价带中相应地就出现多少空穴,导带上电子参与导电,价带上空穴也参与导电,这就是本征半导体的导电机构。 9.回旋共振实验意义:这通常是指利用电子的回旋共振作用来进行测试的一种技术。该方法可直接测量出半导体中载流子的有效质量,并从而可求得能带极值附近的能带结构。当交变电磁场角频率W等于回旋频率Wc时,就可以发生共振吸收,Wc=qB/有效质量 10.波粒二象性,动量,能量 P=m0v E=1 2P2 m0 P=hk 1.间隙式杂质:杂质原子位于晶格原子间的间隙位置,称为间隙式杂质。

英文写作名词解释

What is a summary? A summary is a short piece of writing that gives the main facts or ideas of a story or article,etc. The qualities of a good summary? It should be objective,that is,the writer does not include any ideas of his/her own. It should be complete ,that is,the writer does not leave out important facts or ideas. It should be balanced,in other words,the writer gives equal attention to each main idea. The goal of a summary? It is to give readers an objective,complete,accurate and balanced view of something(an article,a story ,a novel,a play,etc) Paragraph unity A unified paragraph contains only sentences that explain or support the general statement made in the topic sentence.Any sentence that does not relate to (=is not connected in some way)the main idea will not develop it. How to achieve paragraph unity? Begin with a discussable point and express it in a topic sentence. Stick to this single point throughout,that is,all other sentence should be about this point. Prove or develop the point;don 't merely repeat it. Link your sentence to make your ideas easy to follow. How to outline (=to give the main facts about something) a story? Divide the story into smaller parts. Summarize each part in one sentence. Number your sentence summaries to make them an outline of the story. What is a narrative paragraph? A narrative paragraph is one that briefly describes an incident or a personal experience. Requirements that a good narrative paragraph should meet? Though its length is limited,it is complete,that is,it has a beginning,middle and end. It includes as little conversation as possible. Its sentences are connected by suitable linking words or expressions. Here are some common time linking words/expressions. Afterward later when shortly afterward soon while the next day/night then Paragraph coherence(Coherence is connection ) A coherent paragraph is one in which every sentence after the first is connected to the one before it,to the topic sentence ,or to both ,and readers can readily follow the writer 's train of thought(= a related series of thoughts) An incoherence paragraph is one in which the sentences are badly connected or not connected at all,and the readers are likely to lose their way. How to achieve paragraph coherence? Arrange sentence in a clear order. Use correct pronouns Use correct linking words and expressions. What is exposition?

半导体物理考试名词解释

1. 有效质量:粒子在晶体中运动时具有的等效质量,它概括 了半导体内部势场的作用。 2. 费米能级:费米能级是T=0 K时电子系统中电子占据态和未占据态的分界线,是T=0 K时系统中电子所能具有的最高能量。 3. 准费米能级:半导体处于非平衡态时,导带电子和价带空穴不再有统一的费米能级,但可以认为它们各自达到平衡,相应的费米能级称为电子和空穴的准费米能级。 4. 金刚石型结构:金刚石结构是一种由相同原子构成的复式 晶体,它是由两个面心立方晶胞沿立方体的空间对角线彼此位移四分之一空间对角线长度套构而成。每个原子周围都有4个最近邻的原子,组成一个正四面体结构。 5. 闪锌矿型结构:闪锌矿型结构的晶胞,它是由两类原子各 自组成的面心立方晶格,沿空间对角线彼此位移四分之一空间对角线长度套构而成。 6. N型半导体:在纯净的硅晶体中掺入五价元素(如磷),使 之取代晶格中硅原子的位置,就形成了N型半导体。7. P型半导体:在纯净的硅晶体中掺入三价元素(如硼), 使之取代晶格中硅原子的位置,形成P型半导体。 8. 状态密度:在能带中能量E附近每单位能量间隔内的量子 态数 9. 费米分布函数:大量电子在不同能量量子态上的统计分布 10.非平衡载流子:半导体处于非平衡态时,比平衡态时多出来的那一部分载流子称为非平衡载流子。Δp=Δn 11.直接复合:电子从导带直接跃迁至价带与空穴相遇而复 合。 12.间接复合:电子通过禁带中的能级而跃迁至价带与空穴 相遇而复合。 13.施主能级:通过施主掺杂在半导体的禁带中形成缺陷能 级,被子施主杂质束缚的电子能量状态称施主能级。 14 受主能级:通过受主掺杂在半导体的禁带中形成缺陷能 级。正常情况下,此能级为空穴所占据,这个被受主杂质束缚的空穴的能量状态称为受主能级。 15.陷阱中心:半导体中的杂质和缺陷在禁带中形成一定的能 级,这些能级具有收容部分非平衡载流子的作用,杂质能级的这种积累非平衡载流子的作用称为陷阱效应。把产生显著陷阱效应的杂质和缺陷称为陷阱中心。 16.复合中心:半导体中的杂质和缺陷可以在禁带中形成一定 的能级,对非平衡载流子的寿命有很大影响。杂质和缺陷越多,寿命越短,杂质和缺陷有促进复合的作用,把促进复合的杂质和缺陷称为复合中心。(2分) 17等电子复合中心:等电子复合中心:在Ⅲ-Ⅴ族化合物半导体中掺入一定量的与主原子等价的某种杂质原子,取代格点上的原子。由于杂质原子和主原子之间电负性的差别,中性杂质原子可以束缚电子或空穴而成为带电中心,带电中心会吸引和被束缚载流子符号相反的载流子,形成一个激子束缚态。 18.迁移率:单位电场作用下,载流子获得的平均定向运动速度,反映了载流子在电场作用下的输运能力,是半导体物 理中重要的概念和参数之一。迁移率的表达式为:μ=qτ/m* 。可见,有效质量和弛豫时间(散射)是影响迁移率的因素。 19.漂移运动:载流子在电场作用下的运动。总漂移电流密度方程 E pq nq J J J p n p n ) (μ μ+ = + = 20.扩散运动:当半导体内部的载流子存在浓度梯度时,引起载流子由浓度高的地方向浓度低的地方扩散,扩散运动是载流子的有规则运动。电子扩散电流dx dn qD J n diff n = , 空穴扩散电流dx dp qD J p diff p - = , 21.简并半导体:对于重掺杂半导体,费米能级接近或进入导带或价带,导带/价带中的载流子浓度很高,泡利不相容原理起作用,电子和空穴分布不再满足玻耳兹曼分布,需要采用费米分布函数描述。称此 类半导体为简并半导体。满足的条件 为 22.非简并半导体:掺杂浓度较低,其费米能级EF在禁带中 的半导体;半导体中载流子分布可由经典的玻尔兹曼分布代替费米分布描述时,称之为非简并半导体 23迁移率:单位电场作用下,载流子获得的平均定向运动速度,反映了载流子在电场作用下的输运能力,是半导体物理中重要的概念和参数之一。迁移率的表达式为:μ=qτ/m* 。可见,有效质量和弛豫时间(散射)是影响迁移率的因素。 24硅中掺金的工艺主要用于制造__器件。 若某材料电阻率随温度上升而先下降后上升,该材料是__。 25.Pn结外加反向偏压时,流过pn结的电流比由扩散理论得 到的理论结果要大,而且随外加反向偏压的增大而缓慢增加。除扩散电流外,该电流还包括__。 26若某半导体导带中发现电子的几率为零,则该半导体必定__。 27室温下,,已知Si的电子迁移率为, Dn为。 28在光电转换过程中,硅材料一般不如砷化镓量子效率高,因其。 28.有效陷阱中心的位置靠近。 29.对于只含一种杂质的非简并n型半导体,费米能级Ef随 温度上升而。 30.长声学波对载流子的散射几率Ps与温度T的关系 是,由此所决定的迁移率与温度的关系为31.已知硅的禁带宽度为1.12eV,则本征吸收的长波限为 (微米),锗的禁带宽度为0.67eV,则长波限为(微米)。 32.复合中心的作用是。起有效复合中 心的杂质能级必须位于,而且对电子和空穴的俘获系数rn 和rp 须满足。 0.026 k T q V =

系解英文名词解释

1.Piphyseal cartilage(epiphysial line)骺软骨(骺线) 长骨的两端膨大称为骺。幼年时,骨干与骺之间的有透明软骨,成年后软骨骨化,骨干与骺融为一体,其间遗留的痕迹,叫骺线。 2.Pterion翼点 翼点是额、顶、颞、蝶四骨会合处,骨质薄弱,内面有脑膜中动脉前支通过。Paranasal sinuses鼻旁窦 又名副鼻窦,是上颌骨,额骨,筛骨,蝶骨内骨腔,位于鼻腔周围,并开口于鼻腔。共四对,均位于同名骨内。由于鼻旁窦粘膜与鼻腔粘膜相续,故鼻腔炎症可蔓延至鼻旁窦引起鼻窦炎。 3.Diploe 板障 颅盖骨表层为密质,分别称内板和外板。两板之间的松质称为板障,有板障静脉通过。 4.Vertebral foramen(vertebral canal) 椎孔(椎管) 椎体与椎弓围成椎孔,各椎骨的椎孔连成椎管,椎管内容纳脊髓及其被膜。5.Intervertebral foramina 椎间孔 相邻椎骨椎弓根的上下切迹围成椎间孔,有脊神经和血管通过。 6.Sternal angle 胸骨角 胸骨柄和体连接处微向前凸,称胸骨角,可在体表扪及,两侧平对第2肋,是计数肋的重要标志。向后平对第4胸椎体下缘。 7.Intervertebral disc 椎间盘 椎间盘是连结相邻两个椎体的纤维软骨盘。 8.Costal arch 肋弓 为第8~10肋软骨各与上位肋软骨相连形成;为重要的体表标志。 9.Scalene fissure 斜角肌间隙 为前、中斜角肌与第1肋围成的三角形的空隙;内有臂丛神经及锁骨下动脉通过。 10.Isthmus of fauces(oropharyngeal isthmus) 咽峡 腭垂、腭帆游离缘、两侧的腭舌弓,和舌根共同围成咽峡。是口腔和咽的分界处。 11.Calot triangle(cystohepatic triangle) 卡洛氏三角(胆囊三角) 胆囊管、肝总管及肝脏脏面三者构成的三角形区域称为胆囊三角,内有胆囊动脉通过,是胆囊手术中寻找胆囊动脉的标志。 12.Dentate line 齿状线 连接各肛柱下端与各肛瓣边缘的锯齿状环行线称齿状线。 13.Costodiaphragmatic recess 肋膈隐窝 肋胸膜和膈胸膜互相转折处形成较大的间隙。 14.Renal region 肾区 是指竖脊肌外侧缘与第12肋之间的区域,为肾门的体表投影处(相当于第1腰椎水平)。 15.Renal hilum 肾门 renal sinus肾窦 肾内侧缘中间凹陷称为肾门,是肾盂,肾动静脉,淋巴管,神经的出入处。出入肾门的结构合成肾蒂(从前向后静动盂,从上到下动静盂)。由肾门深入肾实质的凹陷称为肾窦。

半导体工艺英语名词解释

半导体工艺英语名词解释 CMP CMP 是哪三个英文单词的缩写 答:Chemical Mechanical Polishing (化学机械研磨) CMP是哪家公司发明的 答:CMP是IBM在八十年代发明的。 简述CMP的工作原理 答:化学机械研磨是把芯片放在旋转的研磨垫(pad)上,再加一定的压力,用化学研磨液(slurry)来研磨的。为什幺要实现芯片的平坦化 答:当今电子元器件的集成度越来越高,例如奔腾IV就集成了四千多万个晶体管,要使这些晶体管能够正常工作,就需要对每一个晶体管加一定的电压或电流,这就需要引线来将如此多的晶体管连接起来,但是将这幺多的晶体管连接起来,平面布线是不可能的,只能够立体布线或者多层布线。在制造这些连线的过程中,层与层之间会变得不平以至不能多层迭加。用CMP来实现平坦化,使多层布线成为了可能。 CMP在什幺线宽下使用 答:CMP在微米以下的制程要用到。 什幺是研磨速率(removal rate) 答:研磨速率是指单位时间内研磨膜厚度的变化。 研磨液(slurry)的组成是什幺 答:研磨液是由研磨颗粒(abrasive particles),以及能对被研磨膜起化学反应的化学溶液组成。 为什幺研磨垫(Pad)上有一些沟槽(groove) 答:研磨垫上的沟槽是用来使研磨液在研磨垫上达到均匀分布,使得研磨后芯片上的膜厚达到均匀。 为什幺要对研磨垫进行功能恢复(conditioning) 答:研磨垫在研磨一段时间后,就有一些研磨颗粒和研磨下来的膜的残留物留在研磨垫上和沟道内,这些都会影响研磨液在研磨垫的分布,从而影响研磨的均匀性。 什幺是blanket wafer 什幺是pattern wafer 答:blanket wafer 是指无图形的芯片。pattern wafer 是指有图形的芯片。

诊断学大体题目和名解英文

名解: 1.症状symptom 2.体征sign 3.发热fever 4.稽留热continued fever 5.弛张热remittent fever 6.间歇热intermittent fever 7.波状热undulant fever 8.回归热recurrent fever 9.不规则热irregular fever 10.水肿edema 11.咳嗽cough 12.咳痰expectoration 13.咯血hemoptysis 14.呕血hematemesis 15.放射痛或牵涉痛radiating pain P41 16.呼吸困难dyspnea 17.心源性呼吸困难或心源性哮喘cardiac asthma 18.Kussmaul 呼吸 19.Saegesser 征 20.腹泻diarrhea 21.黄疸jaundice 22.Gilbert综合征 23.Crigler-Najiar综合征 24.Rotor综合征 25.Dubin-Johnson综合征 26.夏科Charcot三联征 27.血尿haematuria 28.尿频frequent micturition 29.尿急urgent micturition 30.尿痛odynuria 31.尿路刺激征 32.神经源性膀胱 33.意识障碍disturbance of consciousness 34.嗜睡somnolence 35.意识模糊confusion 36.嗜睡stupor 37.昏迷coma 38.谵妄delirium 39.问诊inquiry 40.主诉chief complaint 41.现病史history of present illness 42.既往史past history 43.系统回顾review of systems 44.月经史menstrual history 45.体格检查physical examination 46.检体诊断physical diagnosis 47.视诊inspection 48.触诊palpation 49.叩诊percussion 50.叩诊音percussion sound 51.清音resonance 52.浊音dullness 53.实音flatness 54.鼓音tympany 55.过清音hyperresonance 56.听诊auscultation 57.嗅诊olfactory examination 58. 生命征vital sign 59.无力型asthenic 60.超力型sthenic type 61.正力型ortho-sthenic type 62.营养不良innutrition 63.营养过剩excess nutrient 64.精神障碍mental disorders 65.自知力insight 66.二尖瓣面容mitral facies 67.甲亢面容thyrotoxic facies 68.体位position 69.被动体位passive position 70.强迫体位compulsive position 71.端坐呼吸orthopnea 72.间歇性跛行intermittent claudication 73.发绀cyanosis 74.色素沉着pigmentation 75.斑疹maculae 76.玫瑰疹roseola 77.丘疹papules 78.斑丘疹maculopapule 79.荨麻疹urticaria 80.瘀点petechia 81.紫癜purpura 82.瘀斑ecchymosis 83.血肿hematoma 84.蜘蛛痣spider angioma 85.肝掌liver palms 86.溃疡ulcer

半导体名词解释

ACTIVE AREA主动区(工作区) 主动晶体管(ACTIVE FRANSISTOR)被制造的区域即所谓的主动区(active area)在标准之MOS制造过程中ACTIVE AREA是由,一层氮化硅光罩及等接氮化硅蚀刻之后的局部特区氧化(LOCOS OXIDATION)所形成的,而由于利用到局部场氧化之步骤.所以Active AREA 会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来得小以长0.6UM 之场区氧化而言大概会有O.5 UM之BIRD'S BEAK存在也就是说ACTIVE AREA比原在之氮化硅光罩定义之区域小O.5UM Acetone丙酮 1.丙碗是有机溶剂的一种,分子式为CH30HCH3 2.性质:无色,具剌激性薄荷臭味之液体 3.用途:在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭 4﹒毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、念心、呕吐、目眩、意识不明等。 5﹒允许浓度:1000ppm ADI显影后检查 After Developing Inspection之缩写 目的:检查黄光室制程;光阻覆盖→对准→曝光弓显影。发现缺点后,如覆盖不良、显影不良‥‥等即予修改(Rework)﹒以维产品良率、品质。 方法:利用目检、显微镜为之。 AEI蚀刻后检查 1. AEI 即After Etching Inspection,在蚀刻制程光阻去除、前反光阻去除后,分别对产品实施主检或抽样检查。 2. AEI之目的有四: 2-1提高产品良率,避免不良品外流。 2-2达到品质的一致性和制程之重复性。 2-3显示制程能力之指针。 2-4防止异常扩大,节省成本 3. 通常AEI检查出来之不良品,非必要时很少做修改。因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低之缺点。Air Shower空气洗尘室 进入洁净室之前,须穿无尘衣,因在外面更衣室之故﹒无尘衣上沽着尘埃,故进洁净室之前﹒须经空气喷洗机将尘埃吹掉。 Alignment对准 目的:在IC的制造过程中,必须经过6至10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。

(完整版)英美文学名词解释最全版

01. Humanism(人文主义) 1>Humanism is the essence of the Renaissance. 2> it emphasizes the dignity of human beings and the importance of the present life. Humanists voiced their beliefs that man was the center of the universe and man did not only have the right to enjoy the beauty of the present life, but had the ability to perfect himself and to perform wonders. 02. Renaissance(文艺复兴) 1>The word “Renaissance”means “rebirth”, it meant the reintroduction into western Europe of the full cultural heritage of Greece and Rome. 2>the essence of the Renaissance is Humanism. Attitudes and feelings which had been characteristic of the 14th and 15th centuries persisted well down into the era of Humanism and reformation. 3> the real mainstream of the English Renaissance is the Elizabethan drama with William Shakespeare being the leading dramatist. 03. Metaphysical poetry(玄学派诗歌) 1>Metaphysical poetry is commonly used to name the work of the 17th century writers who wrote under the influence of John Donne. 2>with a rebellious spirit, the Metaphysical poets tried to break away from the conventional fashion of the Elizabethan love poetry. 3>the diction is simple as compared with that of the Elizabethan or the Neoclassical periods, and echoes the words and cadences of common speech.4>the imagery is drawn from actual life. 04. Classicism(古典主义) Classicism refers to a movement or tendency in art, literature, or music that reflects the principles manifested in the art of ancient Greece and Rome. Classicism emphasizes the traditional and the universal, and places value on reason, clarity, balance, and order. Classicism, with its concern for reason and universal themes, is traditionally opposed to Romanticism, which is concerned with emotions and personal themes. 05. Enlightenment(启蒙运动) 1>Enlightenment movement was a progressive philosophical and artistic movement which flourished in France and swept through western Europe in the 18th century. 2> the movement was a furtherance of the Renaissance from 14th century to the mid-17th century. 3>its purpose was to enlighten the whole world with the light of modern philosophical and artistic ideas. 4>it celebrated reason or rationality, equality and science. It advocated universal education. 5>famous among the great enlighteners in England were those great writers like Alexander pope. Jonathan Swift. etc. 06.Neoclassicism(新古典主义) 1>In the field of literature, the enlightenment movement brought about a revival of interest in the old classical works.

相关文档
最新文档