第十章数字电路基础知识

第十章数字电路基础知识
第十章数字电路基础知识

[新课导入]

直接导入,从今天开始,我们学习数字电路。首先学习数字电路基础知识。

[新授内容]

§10.1数字电路的基础知识

一、数字电路及其特点:

1.模拟信号:凡是在时间上和数值上都是连续变化的信号。

例如:随声音、温度、压力等物理量作连续变化的电压或电流。

2.数字信号:凡在数值上或时间上都是离散的信号。

数字信号常用二值量来表示。

例如:光电计数器

画图较好说明。

3.模拟电路:处理模拟信号的电路。

例如:交流和直流信号的放大电路。

4.数字电路:处理数字信号的电路。

例如:脉冲信号的产生、放大、整形、传递、控制、记忆、计数等电路。5.数字电路的特点:

(1)半导体管多数工作在开关状态,即不是工作在饱和区,就是工作在截止区,而放大区只是其过渡状态。

(2)数字电路的研究对象是电路的输入和输出之间的逻辑关系,因而不能彩模拟电路的分析方法。分析数字电路的工具是逻辑代数,表达电路的功能主要用真值表。逻辑函数表达式及波形图等。

二、数制和码

1.十进制数

基数:0~9权:10

计数规律:逢十进一。

2.二进制数

基数:0、1权:2

计数规律:逢二进一。

3.BCD码

在数字系统中,各种文字、符号等特定的信息,也往往采用一定位数的二进制码来表示,通常把这种二进制码称为代码。

BCD码是用四位二进制数组成一组代码,表示一位十进制码。

基数:0、1权:8、4、2、1

[复习提问]

1.什么叫数字电路?它与模拟电路有何区别。

2.“与”逻辑的含义是什么?它的逻辑表达方式有哪几种?

[新授内容]

§10.2逻辑门电路

10.2.1与逻辑、与门电路

一、为什么叫门电路

数字电路的基本部分是各种开关电路。这些电路像门一样依一定的条件“开”或“关”所以又称为“门”电路。

二、逻辑的含义:

1.逻辑:思维的规律,合乎逻辑。

2.逻辑:客观的规律性。

3.逻辑学:研究思维的形式和规律的科学。

4.一般,门电路有一个输出端,但有多个输入端。而且输出端的状态是由输入端状态决定的。如果将门电路的输入状态称为“因”,输出端的状态称为“果”,则输入端和输出端状态间有一定的逻辑关系。通常用“逻辑”这个词表示因果的规律性。

简而言之,表示输入端和输出端状态的规律性。

三、基本的逻辑门电路

是指逻辑“与”“或”“非”三种电路

四、关系逻辑电路的几个规定:

1.逻辑状态的表示方法:

逻辑0和逻辑1

注:不是表示数字的大小。而是表示两种对立的状态。

2.有关系低电平的规定

(1)高、低电平就是指电位的高低。

(2)高、低电平不是一个固定数值,是一个固定范围。

(3)高电平的下限值和低电平的上限值,称为标准高电平VSH和标准低电平V

SL

3.正负逻辑的规定:

正逻辑体制:1表示高电平,0表示低电平。

五、与门电路

(一)概述:

1.逻辑关系:与逻辑

2.具有与逻辑关系的电路:与门电路。

(二)什么叫与逻辑:

决定一件事情的几个条件全部具备之后,这件事情才能发生,否则不发生。

(三)与门电路工作原理:

1.输入为1(即高电平)为0(即是指低电平)

2.输入全为1则输出全为1。

即输入全为高电平,V

1、V

2

都导通,输出为高电平[全1出1];若输

入端有一个低电平,V

1、V

2

也都导通只不过输出是低电平[有0出0]。

有0出0,全1出1。

3.用逻辑代数表示与逻辑关系

列函数表述式:Y=AB(Y=A×B、B

A

Y?

=)含义:A、B全为1时,Y才为1。

注意一个为0时,则Y就为0。

4.真值表:

将逻辑门电路所有输入状态和输出状态用一张表格表达出来,这样的表格叫真值表。

A 有0、1两种状态,

B 也有0、1两种状态,故A 和B 共有4种组合,输入有四种,则输出亦有四种,列出表格。 5.逻辑符号:

[课堂小结]

掌握数字电路与模拟电路的区别,以及数字电路的特点,掌握“与”逻辑的含义,逻辑表达的方式有哪几种。

[布置作业]

P 书163页:11-1、11-2

[复习提问]

1.什么叫数字电路?它与模拟电路有何区别。

2.“与”逻辑的含义是什么?它的逻辑表达方式有哪几种?

[新授内容]

10.2. 2或逻辑、或门电路

一、逻辑或的含义:

决定事件的各个条件中,只要有一个条件得到满足,这件事情就会发生。(逻辑加)

举例说明:以灯泡的亮暗表示。 二、或门电路及原理: 1.电路形式

即输入有一个为高电平时,V 1、V 2就导通,输出为高电平[有1出1];若输入端都为低电平时,V 1、V 2才截止,输出是低电平[全0出0]。 全0出0,有1出1。 2.真值表:

1

1

3.逻辑符号:

4.或逻辑表达式:

0+0=0 0+1=1 1+0=1 1+1=1

5.写逻辑函数表达式。

Y=A+B

练习:逻辑电路的输入波形图如图所示,试画出逻辑电路输出端的波形。

10.2.3非门逻辑、非门电路

一、什么叫非逻辑:

事情(输出信号)和条件(输入信号)总是相反状态。

二、非门电路工作原理:

1.电路形式:由三极管组成的非电路。

2.所谓非,就是否定。

即输入为1(高电平),输出为0低电平[有1出0];若输入端为0(低电平),输出是1高电平[有0出1]。

有0出1,有1出0。

3.真值表:

B Y

0 1

1 0

4.逻辑符号:

5.用逻辑代数表示非逻辑关系 列函数表述式: A Y = 读作:Y 等于A 非。 计算:10= 01=

[课堂小结]

掌握“或”、“非”逻辑的含义及其逻辑表达的方式。

[布置作业]

P 书132页: 10-4

实验:基本门电路的逻辑功能及应用

一、实习目的

熟悉基本与门、或门、非门电路逻辑功能。

二、实验器材

1.直流稳压电源

2.二极管1N4007 2个

3.电阻300

4.开关2个

5.各色连接导线若干

6.发光二极管1个

三、实习内容及步骤

(一)二极管“与”门电路

1.按图1所示的连线图,把二极管、电阻、发光二极管、开关在面板上连接成一个二极管“与”门电路。

2.把调节好的直流稳压电源+5V输出,接入连接好的电路。

3.把开关S1、S2分别处于0、1状态。

对照真值表要求写出输出端的逻辑关系。

根据真值表验证逻辑关系Y=AB

真值表

(二)二极管“或”门电路

1.按“或”门的逻辑功能设计二极管或门电路。

2.把调节好的直流稳压电源+5V输出,接入连接好的电路。

3.把开关S1、S2分别处于0、1状态。

对照真值表要求验证输出端的逻辑关系。Y=A+B

真值表

1 1 0

电路图

(三)三极管“非”门电路

按线路图接通电源,开关S状态按表中所列要求设置,对照每次输出端Y 逻辑关系,根据真值表验证逻辑关系。A

Y

(说明:开关闭合为“1”,开关断开为“0”)

真值表

B Y

0 1

1 0 电路图:

[布置作业]:

写一份实验报告

[复习提问]

1.分别请同学上黑板写出“与”门、“非”门、”门的逻辑表达式、逻辑符号。

2.说出“与”门、“或”门、“非”门的逻辑功能。

[新课导入]

实际应用中常把与门、或门和非门组合起来使用,称为组合逻辑门电路。

[新授内容]

10.2.4复合门电路

一、与非门

1.如何构成与非门电路?

在与门的后面接一个非门就构成与非门。

2.如何研究与非门的逻辑功能? ——直值表

3.逻辑功能:有0出1,全1出0。

4.逻辑符号:

练习:74LS00的引脚排列图。试问它是什么样的集成电路,并试述各引脚的功能。

二、或非门

1.如何构成与非门电路?

在或门的后面接一个非门就构成或非门。

2.逻辑表达式: Y =

B A + 3.列直值表

4.逻辑功能:全0出1,有1出0。

5.逻辑符号:

三、与或非门 1.电路构成

先与后或再非

2

.逻辑表达式: Y=CD AB + 3.列直值表

4.逻辑功能:当输入端中任何一组全为1时,输出即为0,

只有各组输入都至少有一个为0时,输出才为1。[课堂小结]

1.组合逻辑门电路的逻辑符号。

2.组合逻辑门电路的特点。

[布置作业]

P163书:10-5

[复习提问]

1.什么叫组合逻辑门电路? 2.常见的简单组合门电路有哪些? 3.分析组合门电路的步骤。

[新课导入]

由基本门电路(在不加反馈的情况下)组成的逻辑电路称为组合逻辑电路。任何组合逻辑电路其输入和输出状态的逻辑关系可用逻辑函数式表示,反之,任何一个逻辑函数式,总可用组合逻辑电路与之对应。逻辑代数是研究和简化逻辑电路的数学工具。利用逻辑代数可以判定一个已知逻辑电路的功能或根据需要的逻辑功能去研究和简化一个相应的逻辑电路。

[新授内容]

§10.4逻辑代数及其在逻辑电路中的应用

一、逻辑代数概述

逻辑变量:逻辑代数的变量

在逻辑电路中,它的输入、输出状态相当于逻辑变量。 二、逻辑函数式与组合逻辑电路

逻辑变量用逻辑运算符号连接起来,就成为逻辑函数式。 三、逻辑代数的基本定律: 1.0、1、A 的关系

①A A =?0 A A =?1 A A A =? ②A A =+0 11=+A A A A =+ ③0=?A A 1=+A A A A =

2.基本定律

交换律:A B B A ?=? A B B A +=+ 结合律:)()()(C B A C B A c B A ??=??=?? )()(C B A C B A C B A ++=++=++ 分配律::)()(C A B A C B A +?+=?+ C A B A C B A ?+?=+?)( 互补律:0=?A A 1=+A A 反演律(摩根定律):B A B A ?=+ B A B A +=?

吸收律:A AB A B A A A B A A =+=?+?=+)( AB B A A =+)( A AB A =+ 消去律:B A B A A +=+ 证明:

3.逻辑代数化简的方法 ①并项法:利用1=+A A 的关系

例:B A C C B A C B A C B A =+=+)( ②吸收法:利用A+AB=A

例:B A F E BCD A B A =??????++)( ③消去法:利用B A B A A +=+关系

例:C AB C AB AB B A C AB C B C A AB +=+=++=++)( ④配项法:利用)(B B A A +=的关系

B

A A A

B A +=++=)()

()(B A AB A B A AB A B A A ++=++=+

C A AB BC A C A ABC AB BC A A C A AB BC C A AB +=+++=+++=++)()()(

注意:上式又叫“冗余项定律” 例:证明下列各逻辑函数等式 1.B B C B B B A A =++++)()(

证明:左=B B AB B BC B AB B BC BB AB A A =+=+++=++++? 左=右

2.1=+++?B A B A A B A

证明:左= ==?+?=+++111)()(A A B B A B B A 3.B B A B A =+?+)()(

证明:左= ==++=+?++?B A A B BB B A AB A A )1( 4.B A B A A B A A +=+?++)(

证明:左= =+=++=?++B A B A A A A A B A A )(

[课堂小结]

1.逻辑代数的基本定律。 2.逻辑代数法化简的常用方法。

[布置作业]

P 电子线路163书:11-11(5~8)

[习题讲解]

一、证明下列各逻辑等式 1.A B A B A =+++ 解题思路:反演定律去掉非

2.1=+++C A C A B A AB 思路点拔:并项法

3.B A B A AB B A +=+

思路点拔:(1)从右到左利用反演定律、 (2).从左到右硬凑法

4.C A AB BC C A AB +=++ 思路点拔:利用配项法+吸收定理

5.B A B A A +=+ 思路:将AB 添上去

6.C C B A C B A ?=+ 法一、从左到右证:配项 法二、从右到左证:反演

7.BC AD E D A ABCD +=++)( 注:右边AD 、BC 故左边应将AD 、BC 结合

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

《数字电子技术基础》复习指导(第十章)

第十章 数-模和模-数转换 一、本章知识点 权电阻、倒T 形D/A 转换器的原理 双极型D/A 转换应用电路分析。(题9.3) D/A 转换器V O 的计算,考虑线性误差后V O 的实际范围 A/D 转换的步骤; A/D 转换的分辨率(基本概念) 采样定理的内容和物理含义 并联比较型、计数型、逐次比较型、双积分型A/D 转换器转换速度的比较 计数型、逐次比较型A/D 转换器转换时间的计算 二、例题 (一)概念题 1.对于n 位的权电阻网络D/A 转换器,当求和运算放大器的反馈电阻为 2R 时,输出电压的 公式为V 0= 。 2.对于倒T 型电阻网络D/A 转换器,其电阻网络中只有 两种阻值的电阻。 3.一个4位D/A 转换器,满量程电压为10V ,其线性误差为± 2 1LSB ,当输入为1100时, 其输出电压实际值的范围为 。 4.一个8位D/A 转换器,V REF =10V ,其线性误差为±1LSB ,当输入为10001000时,其输出电压实际值的范围为 ;其中(10001000)B =( )10 。 5.设有一被测量温度的变化范围为10 0C ~800 0C ,要求分辨率为1 0C ,则应选用的A/D 转换器的分辨率至少为 位。 6.某8位输出的逐次比较型 A/D 转换器,若它使用的时钟频率为100KHz ,则该A/D 转换 器完成一次A/D 转换所需要的时间为 。 7.A/D 转换的过程可分为取样、保持、 及编码四步。 8.采样定理f s ≥2f imax 中的f imax 是指 。 9.计数式A/D 转换器中,若输出的数字信号为12位,时钟信号频率为4MHz ,则完成一次转换的最长时间是 ms ?如果希望最大转换时间小于100us ,那么时钟信号的频率应选用 HZ ? 10.一个8位D/A 转换器,若最小分辨电压VLSB=20mV ,当输入代码为10010111时,输出电压为 V ?该转换器的分辨率是

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术基本第三版第三章答案解析

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点:

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计 题型1为根据已知电路分析逻辑功能; 题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。 建议分配的分数为6~12分。 第二节思考题题解

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

《电子技术基础》数字电路教案(张兴龙主编教材)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。 当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。

教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、集成触发器(16课时)、时序逻辑电路(16课时);对脉冲波形的产生和整形电路让学生了解性掌握(4课时)。对于智能化电子系统简介、数模和模数转换章节由于内容太深,太抽象学生不易掌握,不予讲解,但在大学阶段又有较多的应用,故就鼓励学生进行自学,对于不懂的内容个别辅导。所授内容共68课时(共需17周),由于时间限制,对其他相关内容只能利用课余时间进行辅导以扩宽学生的知识面。故要求学生能利用课余时间去阅读相关资料,来达到学以至用的目的。《电子技术基础》虽然是一门基础课,但他的应用还是相当广泛的,故在教学中也应该认识到这一点,以指导学生利用所学知识灵活运用。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

电子技术基础(第一部分)——第十章 数字电路基础

第十章数字电路基础 【本章逻辑结构】 【本章重点内容】 1.数制及转换相关知识 2.基本门电路及功能 3.逻辑函数的公式化简法。 【本章考试要点】 第一节数字电路概述 1.数字电路的特点: (1)电路结构简单,稳定可靠。 (2)信号传输采用高低电平二值信号,抗干扰能力强。 (3)可完成数值运算和逻辑运算,双称逻辑电路。 (4)数字电路的元器件处于开关状态,功耗小。 2.脉冲信号 (1)持续时间极短的电压或电流信号叫脉冲信号。 (2)常见的脉冲波形有:矩形波、锯齿波、尖脉冲、阶梯波等。 (3)脉冲的主要参数有:脉冲幅值Vm、脉冲上升时间tr、脉冲下降时间tf、脉冲宽度tw、脉冲周期T。 3.数字信号 (1)由1和0来表示脉冲的出现和消失,一串脉冲就表示为一串1和0组成的数码,这种信号称为数字信号。 (2)正逻辑:1代表高电平,0代表低电平。 (3)负逻辑:1代表低电平,0代表高电平。 第二节RC电路的应用 1.RC微分电路 (1)微分电路能将矩形波转换成尖顶波,为触发器、计数器、开关电路提供触发信号。 (2)RC电路成为微分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少

1/5),即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 1. RC 积分电路 (1) 积分电路可以把矩形波转换成三角波,常用来作为数字电路中的定时元件,电视机 中用来从复合同步信号中取出场同步信号。 (2) RC 电路成为积分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少3倍), 即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 第三节 数制及码制 1. 数制 (1) 数制是计数的一种体制,常有的有十时制,而数字电路中常采用二进制(数码 有0、1)、八进制(数码有0~7)、十六进制(数码有0~1、A 、B 、C 、D 、E 、F )。 (2) 二进制数、十六进制数转换成十进制数的方法:乘权相加法。 例:(1101.1)2=1×23+1×22+0+21+1×20+1×2-1 =(13.5)10 (3AE)16=3×162+10×101+14×160 =(942)10 (3)十进制数转换成二进制数的方法:除以2取余倒记法(先得到的余数作为最低位。) 例(19)10=(10011)2 (3) 二进制数和十六进制数的互化:任意四位二进制数都和一位十六进制数唯一相 对。(11010110101.1100101)2=(0110 1011 0101.1100 1010)=(6B5.CA)16 (7E6)16=(0111 1110 0110)2 第四节 逻辑门电路基础 1. 基本逻辑门 (1) 与逻辑门

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1 、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

数字电子技术基础简明教程(第三版)作业第三章作业

第三章作业 【题3.5】分别用与非门设计能够实现下列功能的组合电路。 (1)四变量表决电路——输出与多数变量的状态一致。 解:输入信号用A、B、C、D表示,输出信号用Y表示,并且用卡诺图表示有关逻辑关系。 图1 =ABC+ABD+ACD+BCD= (2)四变量不一致电路--------四个变量状态不相同时输出为1,相同时输出为0。 图2 C

实现(1)(2)的电路图如下图所示 【题3.8】设计一个组合电路,其输入是四位二进制数D=,要求能判断下列三种情况: (1)D中没有1. (2)D中有两个1. (3)D中有奇数个1. 解:表达式 (1)==+++ (2)如下图a所示。 (3)如下图b所示。

= =(+)(+)+(+)(+) =+++ 逻辑图如下图所示 【题3.10】用与非门分别设计能实现下列代码转换的组合电路: (1)将8421 BCD码转换为余3码。 (2)将8421 BCD码转换为2421码。

(3)将8421 BCD码转换为余3循环码。 (4)将余3码转换成为余3循环码。 解:=8421 BCD码=余3BCD码 =2421 BCD码 =余3循环码(1)卡诺图如下图所示 =++= =++= =+= = (2)卡诺图如下图所示 = =+=

= + = = (3) 卡诺图如下图所示 = + + + = = + + = = (4) 卡诺图如下图所示 = = + = = + = = + = 上述的逻辑电路图分别如下图1、2所示: = + + =

图1 图2 【题3.12】用集成二进制译码器74LS138和与非门构成全加器和全减器。解:(1

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

相关文档
最新文档