VHDL语言数字系统中英文对照外文翻译文献

VHDL语言数字系统中英文对照外文翻译文献
VHDL语言数字系统中英文对照外文翻译文献

中英文对照外文翻译

(文档含英文原文和中文翻译)

DESIGNING A DIGITAL SYSTEM WITH VHDL

Abstract:In this paper a digital system designing with VHDL is presented. Here are exposed sequentially all the phases of the very digital system's designing. The main methods are also on show here. The project descriptions’ types are presented. The stress is put on the use of VHDL for synthesis of structural and behavioral models.

For creating the project of the chosen digital system an integrated system WebPack was used, as well as ModelSIm XE II for the model's simulation.

Keywords: Design, VHDL, digital systems, model, WebPack

1. INTRODUCTION

The digital systems are complex ones, consisting of lots of components. As far as the automated design of such systems is concerned, methods for designing time reducing and limiting the complexity of the task are sought out and applied. A method of the kind is connected with the decomposition and hierarchy principles. The decomposition of the systems is realized in a way, which differentiates functionally independent modules.

A digital system can be described as a module with inputs and/or outputs. The electrical values on the outputs are some function of the values on the inputs.

One way of describing the function of a module is to describe how it is composed of sub-modules. Each of the sub-modules is an instance of some entity, and the ports of the instances are connected using signal s. This kind of description is called a structural description.

In many cases, it is not appropriate to describe a module structurally. One such case is a module, which is at the bottom of the hierarchy of some other structural description. For example, if you are designing a system using IC packages bought from an IC shop, you do not need to describe the internal structure of an IC. In such cases, a description of the function performed by the module is required, without reference to its actual internal structure. Such a description is called a functional or behavioral description.

Usually, for structural and behavioral description, either Verilog or VHDL is used. In this paper a designing with VHDL is presented. Here are exposed sequentially all the phases of the very digital system's designing. The main methods are also on show here. The project descriptions’ ty pes are presented. The stress is put on the use of VHDL for synthesis of structural and behavioral models. Here are presented several VHDL models of computer systems’ components.

2. METHODS AND STAGES IN DIGITAL SYSTEMS’ DESIGN

In digital systems’ design, as well as design of complex systems, a couple of methods are in use:

? top - down designing;

? up - down designing.

In top - down designing the building up of the system is usually started from below in upright direction through elaboratin g the element blocks’ schemes, assembled later to form the whole product.

An advantage of this method is the use of representation on functional block level and the lower, the structural level, is addressed only during the error check simulations within the project.

The up-down designing starts with a specification on the highest level. After that, the project is being decomposed into functional blocks and the requirements for the income and outcome time proportions are specified. The functional models are described through behavioral models or by models on register levels and are subsequently simulated.

Some of the advantages of the methods are:

? аn easier execution of the task’s specifications;

? иt allows a projects’ check on system level,without tackling the structural details;

? The project’s check is done, with no regard to the technology of its realization.

That allows that the choice of technology be made on a later stage of the designing

project.

The most effective up-down designing method is the use of an abstract description of the scheme and the sequential details specifying of the different hierarchy levels’ description.

The digital systems’ design goes through the next stages:

? Specification;

? Functional (electrical) designing;

? Physical designing;

? Manufacturing;

? Testing.

Through specification the product parameters, necessary for its proper destination, are determined.

Through the functional (electrical) designing, the electrical scheme, responsible for the functions and parameters of the product, in terms of the specification, is elaborated.

The behavioral stage serves as a description for the scheme as a system, and its entries and exits are marked out. In most of the cases, VHDL models are used.

The Functional (electrical) designing deals with main functional blocks’ elaboration. Usually a detailed VHDL description of the functional block is made and being checked by a VHDL simulation.

With the increasing complexity of the projects, for the elaboration on structural level, the technique of synthesis is applied. It allows that the scheme with logical elements be synthesized from a VHDL description. Through logical description details such as charging, elements’ delay, are specified and crucial methods and problems with time scattering of signals are defined.

The Physical designing stages strongly depend on technology. The common task is concerned with the deploying of the logical elements and defining (tracing) their interrelations.

Provided that for the product realization PLD, CPLD or FPGA chips are used, then the result of the physical designing represents a configuration file for designing the chosen device’s resources.

The testing of the project represents a number of procedures, used by designers, to provide: ?adequacy between project and specification;

?the execution of the project in terms of the chosen technology.

The designing process is usually iterative, including pre-designing of given parts, until the intended indicators are obtained.

For the tasks of testing in electrical designing (the functionality of the product and its electrical parameters), simulations are used.

The simulation on behavioral level defines how the product will run, before its actual compounding blocks are chosen. For working out of the behavioral models, the hardware description languages are used (VHDL, Verilog and others).

Through simulation, on a logical primitives level, the schemes are built up with basic logical elements “AND-NO”, “OR-NO”, inv ertors and triggers and are being simulated in order to find out irrelevances with their expected acting.

In functional testing, the delays are not concerned or they are supposed similar for all logical elements.

Error identification after the physical design

After topology’s final elaboration are made the next procedures:

? check out of the tech norms throughout manufacturing;

? check out for the project’s authenticity.

The tech norms for manufacturing are specific for each technological process.

The authenticity verification of the project aims to guarantee the product’s proper working. It includes:

? finding out the interconnection of the scheme;

? finding out the parasite components of the topology.

3. TYPES OF DESIGN DESCRIPTIONS

Through the designing process, three types of design description are in use:

? behavioral;

? structural;

? physical.

The behavioral description tackles the system as if it were a kind of “black box” with its entrances and exits, with no regard to its structure. The aim is to ignore the redundant details and to concentrate on the specification of the necessary for the functions, which are to be done by the product. On this stage, languages for the apparatus part are used HDL (Hardware Description Languages) - VHDL, Verilog and others.

The structural description defines the way that the system is to be built up. Here, the system’s structure, made of blocks and their interrelations, is tackled. The subsystems, which are to provide its functional execution, as well as their detailed description for analysis of the operational speed, charging and so on, are defined. The structural description can be presented by languages for the description of the hardware, as well as by electrical schemes.

The design process is connected with the transformations of the systems’ descriptions and their sequential details specification. Decomposition from behavioral to structural description can be realized on a number of levels in a hierarchy. From the highest to the lowest, these levels can be outlined as it follows:

? system level;

? functional level;

? logical level;

? scheme level.

On the highest system level, the system’s behavior is represented by algorithms that describe its functions. In order that these functions be executed, the architecture of the system is worked out, including microprocessors, memories, main boards and other structural components.

On the lower level, the system’s behavior is described by Bolivia e quations. For their execution, logical elements and triggers are used.

4. USE OF VHDL FOR SYNTHESIS OF STRUCTURAL AND BEHAVIORAL MODELS

VHDL is a Hardware Description Language for describing digital system [2].

VHDL is designed to full a number of needs in the design process.

VHDL contains a number of facilities for modifying the state of objects and controlling the flow of execution of modules.

In VHDL, an entity is such a module which may be used as a component in a design, or which may be the top-level module of the design. The entity declarative part may be used to declare items, which are to be used in the implementation of the entity.

Once an entity has had its interface specified in an entity declaration, one or more implementations of the entity can be described in architecture bodies. Each architecture body can describe a different view of the entity.

The declarations in the architecture body define items that will be used to construct the design description.

Signals are used to connect sub modules in a design. The sub modules in an architecture body can be described as blocks. A block is a unit of module structure, with its own interface,

connected to other blocks or ports by signals. A signal assignment schedules one or more transactions to a signal (or port).

The primary unit of behavioral description in VHDL is the process. When more than one process is activated at the same time, they execute concurrently.

A process statement which can be used in an architecture body or block. The declarations define items which can be used locally within the process.

A process may contain a number of signal assignment statements for a given signal, which together form a driver for the signal.

VHDL descriptions write them in a design file. After then invoke a compiler to analyze them and insert them into a design library. A number of VHDL constructs may be separately analyzed for inclusion in a design library. These constructs are called library units. A design file may contain a number of library units.

The behavioral model represents a functional interpretation of the designed digital system. The hardware of the digital device is regarded as a kind of a discreet system. Its behavior is described as a number of operations. These operations are applied within the system’s database. Within the creation of behavioral VHDL models, operations are described by processes and their interconnections-by signals. On fig 1 is presented a VHDL model of a linear decipherer.

library IEEE;

use IEEE.std_logic_1164.all;

entity DESHIF is

port (x1,x2,x3 in: std_logic;

J: out std_logic_vector(0 to 7));

end DESHIF;

arhitecture STRUCTURAL of DESHIF is

component AND3

port (I1,I2,I3: in std_logic;

O1: out std_logic);

end component;

component NOT1

port (I1: in std_logic;

O1: out std_logic);

end component;

signal a,b,c: std_logic;

begin

U1: NOT1 port map (I1=>x1,O1=>a);

U2: NOT1 port map (I1=>x2,O1=>b);

U3: NOT1 port map (I1=>x3,O1=>c);

U4: AND3 port map (I1=>a,I2=>b,I3=>c,O1=>J(0));

U5: AND3 port map (I1=>a,I2=>b,I3=>x3,O1=>J(1));

U6: AND3 port map (I1=>a,I2=>x2,I3=>c,O1=>J(2));

U7: AND3 port map (I1=>a,I2=>x2,I3=>x3,O1=>J(3));

U8: AND3 port map (I1=>x1,I2=>b,I3=>c,O1=>J(4));

U9: AND3 port map (I1=>x1,I2=>b,I3=>x3,O1=>J(5));

U10: AND3 port map (I1=>x1,I2=>x2,I3=>c,O1=>J(6));

U11: AND3 port map (I1=>x1,I2=>x2,I3=>x3,O1=>J(7));

end STRUCTURAL;

architecture DATA_FLOW of DESHIF is

signal T1,T2,T3: bit;

begin

T1<= not x1;

T2<= not x2;

T3<= not x3;

F1<=T1 and T2 and T3;

F2<=T1 and T2 and x3;

F3<=T1 and x2 and T3;

F4<=T1 and x2 and x3;

F5<=x1 and T2 and T3;

F6<=x1 and T2 and x3;

F1<=x1 and x2 and T3;

F1<=x1 and x2 and x3;

end DATA_FLOW;

fig.1 VHDL code of a linear decipherer

Structural VHDL models ar e means for reflecting the project’s hierarchy. They are built up by decomposition of digital systems of functionally interconnected parts. These parts are presented as components, and their interconnections are realized through signals. These signals enter and exit the components via ports.

For example, within the designing of the digital module, presented on [2], its structural model has three main components-counter, decoder and light-diode seven-segment display. On fig.2 is shown a part of the structural model, synthesized in WebPack.

Fig.2 . VHDL structural description

The simulation starts with an initialization phas e, and then proceeds by repeating a two-stage simulation cycl e. In the initialization phase, all signals are given initial values, the simulation time is set to zero, and each module’s behavior program is executed. This usually results in transactions being scheduled on output signals for some later time.

The purpose of the simulation is to gather information about the changes in system state over time. This can be done by running the simulation under the control of a simulation monito r. The monitor allows signals and other state information to be viewed or stored in a trace file for later analysis. It may also allow interactive stepping of the simulation process, much like an interactive program debugger.

The computer-synthesized models of the structure and behavior of the digital systems are used for the elaboration of project units.

5. CONCLUSION

With the increasing complexity of the projects, structural presentation on a logical elements’ level, becomes a hard, even impossible. Therefore, a higher abstraction level description would allow optimal results to be reached, such as consummation, characteristics, size and price.

The hardware description language VHDL is quite suitable for purposes of that kind. It can be used for a high-level behavioral description, as well as for detailed structural description.

This language provides:

a standard way for documenting the project;

? means for creation of abstract simulation models, which can be used by each VHDL-simulator;

? possibility for an automatic synthesis of the electrical scheme from the project’s abstract description.

The VHDL language allows the elaboration of a complete functional structural model of the specialized integral scheme, which can be simulated in order to assess its adequacy in terms of the specification’s requirements. Thus, a higher quality of the project is guaranteed, because errors and problems are found out shortly after the start of the designing process.

6. REFERENCES

[1]. Lipsett R., C. Ussery, VHDL: Hardware Description and Design, 1989.

[2]. Kukenska V., I. Simeonov, Designing of a Digital module for Management of a Seven-segmented indication with Programming logics through the use of the Description language VHDL, The 12 International Scientific and Applied Science Conference, ELECTRONICS ET'2002, Sozopol, Bulgaria, September 25-27, 2003.

[3]. Navabi Z. , VHDL: Analysis and Modeling of Digital Systems, McGraw-Hill, 1993.

用 VHDL 实现数字系统的设计

摘要:本文的内容是用VHDL语言设计数字系统,按顺序列出数字系统设计的所有步骤,此外,还有主要方法,介绍项目描述的类型,强调VHDL语言用于结构的合成和行为模式。

对于创建项目所选择的数字系统的集成系统使用了WebPack,以及协同XE II 的模型来进行模拟的。

关键字:设计,教程,数字系统、WebPack 模型

1.介绍

数字系统都是由复杂的大量组件组成的。对这种系统的自动化设计而言,应该实现应用方法设计时间的减少,以及限制任务的复杂性。这种方法应遵循分解和层次结构的原则,在职能上能区分独立模块的方式,实现系统的分解。

数字系统可以作为输入和/或输出模块描述。对产出的电值是一些功能上输入的值。

描述一种模块函数的一种方法是描述组成它的子模块。每个子模块是某些实体的实例和实例的端口使用信号进行连接。这种描述方法被称为结构描述。

在许多情况下,是不适合用结构描述的。其中一个元件是一个模块,这是在其他一些结构描述的层次结构的底部的。例如,如果您正在用从IC 商店购买的IC包设计一个系统,你不需要描述IC 的内部结构。在这种情况下,说明模块执行的功能是必需的,而不提及它实际的内部结构。这样的描述被称为功能或行为描述。

通常,结构和行为的说明,需要Verilog 或者VHDL语言。本文提供了超大规模集成电路的设计。在这里按顺序列出了数字系统设计的所有阶段,及其主要方法,介绍项目描述的类型,强调VHDL 用于合成结构和行为模式。这里介绍几种VHDL 模型的计算机系统组件。

2.数字系统设计的方法和阶段

在数字系统设计,以及设计的复杂系统中,通常使用的几个方法:

? ? 自顶向下设计

? ? 自底向上设计

自底向下的方法在通常从底部开始建立系统的设计方向,拟订模块的设计,通过组装以后形成整个产品。

此方法的优点是使用功能块级别来实现,结构级别较低,仅在项目中的错误检查模拟过程中处理。

自顶向下设计始于最高水平的规范。之后,该项目被分解为功能块,并且输入输出时间的比例可按需要指定。功能模型是通过行为模型或注册级别的模型描述的,随后进行模拟。

此方法的优点是:

? ? 任务的规范更容易实现;

? ? 无需处理结构的详细信息,即可允许项目在制度层面上进行检查;

? ? 不用考虑到其实现的技术,项目的检查就能完成。允许对设计项目的后期作出技术的选择。

自顶向下设计的最有效方法是该计划摘要说明的使用和指定顺序详细信息不同层次的描述。

数字系统设计经历的下一阶段:

? ? 规范;

? ? 功能(电子)的设计;

? ? 物理设计;

? ? 制造;

? ? 测试。

通过规范的产品参数,从而确定所需的正确目标。

通过功能(电子)设计,电子设计,参照产品的规格来制定产品的函数和参数。

在行为阶段中为系统方案而进行的描述,其条目和出口已被标出。在大多数情况下,使用VHDL 模型。主要功能块的完成需要功能(电)的设计来处理。通常由VHDL 功能块的详细说明来完成,以及通过VHDL 模拟进行检查。

随着项目的日益复杂,在结构层面上,需要应用合成技术。它需要包含逻辑元素的方案通过VHDL语言描述来进行综合。通过逻辑描述的详细信息如充电,元素的延误,是被指定的关键的方法,并定义了时间离散信号的问题。

物理设计阶段紧紧依赖于技术。逻辑元素的部署和它们之间联系的定义(跟踪)与常见任务紧密相关。

在可编程逻辑器件的实现的条件下,可以使用CPLD 或FPGA 芯片,然后物理设计的结果则表示了设计所选设备的资源配置文件。

测试项目代表了设计师使用的一些程序,用于提供:

·在项目和规范之间保持适中;

·在所选择的技术方面的项目执行。

设计过程通常逐步实现,包括已获得部分的预设计,直到获得预期的指标。

对于电气设计(产品和其电气参数的功能)任务的测试,常用模拟来进行。

在选择其实际的混合模块之前,行为层面上的模拟定义了产品将如何运行。对于行为模式,应使用硬件描述语言(vhdl 语言、Verilog语言和其他语言)。

通过模拟,在逻辑单元的级别上,由基本逻辑元素"与非"、"或非"、转换器和触发器来建立系统,是为了找出与其预期行为的无关性。

在功能测试中,延迟是不相关,或者它们对于所有的逻辑元素来说类似。

物理设计后的错误鉴定

拓扑的最后阐述后进行下一步程序:

? ? 在制作过程中检查技术规范;

? ?检查项目的真实性。

针对每个工艺过程的制造技术规范都是被指定的。

项目真实性核查的目的是保证产品的正常工作。它包括:

? ? 找出互连的方案;

? ? 找出拓扑的寄生组件。

3.设计描述的类型

设计过程中,有三种类型的设计说明可以使用:

? ? 行为;

? ? 结构;

? ? 物理。

用行为描述处理系统,系统就像是一种只有入口和出口的"黑匣子",而不顾其结构。目的是忽略冗余的详细信息,并致力于必要功能的详细说明,以及所做产品的规范。在这一阶段,使用HDL (硬件描述语言)-vhdl 语言、Verilog语言和其他语言。

结构的描述定义的方式为系统建立的方式。在这里,被处理的系统结构是由块和及其相互关系组成的。定义的子系统,是为提供其功能而执行的,以及分析运行速度,收费等,以及其详细说明。结构描述可以用硬件描述语言来描述,如通过电子设计。

设计过程与系统描述和其顺序详细信息规范的转换有紧密联系。从行为描述到结构描述进行分解,可实现层次结构中的某些级别。从最高到最低的这些级别可以概述为,如下所示:

? ? 系统级别;

? ? 功能级别;

? ? 逻辑级别;

? ? 计划级别。

20种氨基酸的英文、缩写以及功能【完整版本】

加粗为8种人体必需氨基酸 常见氨基酸的作用: 一. 甘氨酸(GLY) 1、降低血液中的胆固醇浓度,防治高血压 2、降低血液中的血糖值,防治糖尿病 3、能防治血凝、血栓 4、提高肌肉活力,防止胃酸过多 5、甜味为砂糖的0.8倍,对人体有补益等营养作用 二. 亮氨酸(LEU)

1、降低血液中的血糖值,对治疗头晕有作用 2、促进皮肤、伤口及骨头有愈合作用 3、如果缺乏时,会停止生长,体重减轻 三. 蛋(甲硫)氨酸(MET) 1、参与胆碱的合成,具有去脂的功能,防治动脉硬化高血脂症 2、有提高肌肉活力的功能 3、促进皮肤蛋白质和胰岛素的合?? 四. 酪氨酸(TYR) 1、造肾上腺激素、甲状腺激素和黑色素的必需氨基酸 2、可防治老年痴呆症 3、促进新陈代谢,增进食欲 4、对治疗胃溃疡等慢性疾病、神经性炎症及发育不良等效果 5、与色素形成有关系,缺乏时会利白化症 五. 组氨酸(HIS) 1、参与血球蛋白合成,促进血液生成 2、产生组氨、促进血管扩张,增加血管壁的渗透性 3、医治胃病、十二指肠等有特效 4、促进腺体分泌,对过敏性疫病有效果 5、可治疗消化性溃疡、发育不良等症状 6、对治疗心功能不全、心绞痛、降低血压、哮喘及类风湿关节炎有效果 六. 苏氨酸(THR) 人体必需,缺乏时会使人消瘦,甚至死亡 七. 丙氨酸(ALA) 1、能促进血液中酒精的代谢(分解)作用增强肝功能,有保肝护肝作用 2、甜味为砂糖的1.2倍 八. 异亮氨酸(ILE) 1、能维持机体平衡,治疗精神障碍 2、有促进食欲的增加和抗贫血的作用 3、如果缺乏时,会出现体力衰竭,昏迷等症状 九. 色氨酸(TRY) 1、促进血红蛋白的合成 2、防治癞皮病 3、促进生长,增加食欲 4、甜味为砂糖的35倍,配制生产的低塘食物等对糖尿病、肥胖病人食用较好 十. 胱氨酸(CYS) 1、有治疗脂肪肝和解毒效果

中英文参考文献格式

中文参考文献格式 参考文献(即引文出处)的类型以单字母方式标识: M——专著,C——论文集,N——报纸文章,J——期刊文章,D——学位论文,R——报告,S——标准,P——专利;对于不属于上述的文献类型,采用字母“Z”标识。 参考文献一律置于文末。其格式为: (一)专著 示例 [1] 张志建.严复思想研究[M]. 桂林:广西师范大学出版社,1989. [2] 马克思恩格斯全集:第1卷[M]. 北京:人民出版社,1956. [3] [英]蔼理士.性心理学[M]. 潘光旦译注.北京:商务印书馆,1997. (二)论文集 示例 [1] 伍蠡甫.西方文论选[C]. 上海:上海译文出版社,1979. [2] 别林斯基.论俄国中篇小说和果戈里君的中篇小说[A]. 伍蠡甫.西方文论选:下册[C]. 上海:上海译文出版社,1979. 凡引专著的页码,加圆括号置于文中序号之后。 (三)报纸文章 示例 [1] 李大伦.经济全球化的重要性[N]. 光明日报,1998-12-27,(3) (四)期刊文章 示例 [1] 郭英德.元明文学史观散论[J]. 北京师范大学学报(社会科学版),1995(3). (五)学位论文 示例 [1] 刘伟.汉字不同视觉识别方式的理论和实证研究[D]. 北京:北京师范大学心理系,1998. (六)报告 示例 [1] 白秀水,刘敢,任保平. 西安金融、人才、技术三大要素市场培育与发展研究[R]. 西安:陕西师范大学西北经济发展研究中心,1998. (七)、对论文正文中某一特定内容的进一步解释或补充说明性的注释,置于本页地脚,前面用圈码标识。 参考文献的类型 根据GB3469-83《文献类型与文献载体代码》规定,以单字母标识: M——专著(含古籍中的史、志论著) C——论文集 N——报纸文章 J——期刊文章 D——学位论文 R——研究报告 S——标准 P——专利 A——专著、论文集中的析出文献 Z——其他未说明的文献类型 电子文献类型以双字母作为标识: DB——数据库 CP——计算机程序 EB——电子公告

中英文论文对照格式

英文论文APA格式 英文论文一些格式要求与国内期刊有所不同。从学术的角度讲,它更加严谨和科学,并且方便电子系统检索和存档。 版面格式

表格 表格的题目格式与正文相同,靠左边,位于表格的上部。题目前加Table后跟数字,表示此文的第几个表格。 表格主体居中,边框粗细采用0.5磅;表格内文字采用Times New Roman,10磅。 举例: Table 1. The capitals, assets and revenue in listed banks

图表和图片 图表和图片的题目格式与正文相同,位于图表和图片的下部。题目前加Figure 后跟数字,表示此文的第几个图表。图表及题目都居中。只允许使用黑白图片和表格。 举例: Figure 1. The Trend of Economic Development 注:Figure与Table都不要缩写。 引用格式与参考文献 1. 在论文中的引用采取插入作者、年份和页数方式,如"Doe (2001, p.10) reported that …" or "This在论文中的引用采取作者和年份插入方式,如"Doe (2001, p.10) reported that …" or "This problem has been studied previously (Smith, 1958, pp.20-25)。文中插入的引用应该与文末参考文献相对应。 举例:Frankly speaking, it is just a simulating one made by the government, or a fake competition, directly speaking. (Gao, 2003, p.220). 2. 在文末参考文献中,姓前名后,姓与名之间以逗号分隔;如有两个作者,以and连接;如有三个或三个以上作者,前面的作者以逗号分隔,最后一个作者以and连接。 3. 参考文献中各项目以“点”分隔,最后以“点”结束。 4. 文末参考文献请按照以下格式:

计算机网络新技术外文翻译文献

计算机网络新技术外文翻译文献 (文档含中英文对照即英文原文和中文翻译) 译文: 计算机网络新技术 摘要 21世纪是一个信息时代的经济,计算机网络技术是这个时期的代表技术,以非常快的、具创造性得不断地发展,并将深入到人民群众的工作,生活和学习中。因此,控制这种技术看起来似乎具有很重要的意义。现在,我主要是采用新技术的几种网络技术在现实生活的应用。 关键字 因特网数字证书数字银包网格存储 3G

1.前言 互联网满36岁,仍然是一个进展中的工作。36年后在加州大学洛杉矶分校的计算机科学家使用15英尺的灰色电缆连接两台笨重的电脑,测试了一种在网络上新的数据交换的方式,这将最终成为互联网依然是一个在取得进展的工作。 大学的研究人员正在试验如何提高网络容量和速度。编程人员正在设法为网页注入更多的智能。并正在进行重新设计网络以减少垃圾邮件(垃圾邮件)和安全麻烦的工作。 与此同时威胁织机:批评人士警告说,商业,法律和政治压力可能会阻碍一些使互联网发展到今天的创新的类型。 斯蒂芬克罗克和温顿瑟夫属于1969年9月2日研究生加入的加州大学洛杉矶分校斯莱昂兰罗克教授工程实验室的团体,作为位无意义的测试数据两台计算机之间默默流动。到第二年的1月,其他三个“节点”加入到了这个网络。 然后是电子邮箱,几年之后,在七十年代后期一个所谓的核心通信协议即TCP / IP 协议,在80年代域名系统和在1990年万维网-现在的第二个最流行的应用背后电子邮件出现了。互联网的扩大,超出其最初的军事和教育领域延伸到了企业和全球的家庭中。 今天,克罗克仍然为互联网工作,为协作设计更好的工具。作为互联网管理机构的安全委员会主席,他正试图保卫系统的核心处理免受来自外部的威胁。 他认识到,他帮助建立的互联网工作远未完成,而这些改变是在商店,以满足多媒体日益增长的需求。网络供应商现唯一的“最佳努力”是在提供的数据包上。克罗克说,需要有更好的保障,以防止跳过和过滤现在常见的视频。 瑟夫,现在在MCI公司说,他希望他建立了有内置安全的互联网。微软,雅虎和美国在线公司,和其他的一些,目前正在努力改进网络,使邮件发送者可以验证的方式发送以降低使用虚假地址发送垃圾邮件。 瑟夫说,现在正在制定许多功能,是不可能立即解决计算速度慢和互联网管道窄,或

中英文论文参考文献标准格式 超详细

超详细中英文论文参考文献标准格式 1、参考文献和注释。按论文中所引用文献或注释编号的顺序列在论文正文之后,参考文献之前。图表或数据必须注明来源和出处。 (参考文献是期刊时,书写格式为: [编号]、作者、文章题目、期刊名(外文可缩写)、年份、卷号、期数、页码。参考文献是图书时,书写格式为: [编号]、作者、书名、出版单位、年份、版次、页码。) 2、附录。包括放在正文内过份冗长的公式推导,以备他人阅读方便所需的辅助性数学工具、重复性数据图表、论文使用的符号意义、单位缩写、程序全文及有关说明等。 参考文献(即引文出处)的类型以单字母方式标识,具体如下: [M]--专著,著作 [C]--论文集(一般指会议发表的论文续集,及一些专题论文集,如《***大学研究生学术论文集》[N]-- 报纸文章 [J]--期刊文章:发表在期刊上的论文,尽管有时我们看到的是从网上下载的(如知网),但它也是发表在期刊上的,你看到的电子期刊仅是其电子版 [D]--学位论文:不区分硕士还是博士论文 [R]--报告:一般在标题中会有"关于****的报告"字样 [S]-- 标准 [P]--专利 [A]--文章:很少用,主要是不属于以上类型的文章 [Z]--对于不属于上述的文献类型,可用字母"Z"标识,但这种情况非常少见 常用的电子文献及载体类型标识: [DB/OL] --联机网上数据(database online) [DB/MT] --磁带数据库(database on magnetic tape) [M/CD] --光盘图书(monograph on CDROM) [CP/DK] --磁盘软件(computer program on disk) [J/OL] --网上期刊(serial online) [EB/OL] --网上电子公告(electronic bulletin board online) 很显然,标识的就是该资源的英文缩写,/前面表示类型,/后面表示资源的载体,如OL表示在线资源 二、参考文献的格式及举例 1.期刊类 【格式】[序号]作者.篇名[J].刊名,出版年份,卷号(期号)起止页码. 【举例】 [1] 周融,任志国,杨尚雷,厉星星.对新形势下毕业设计管理工作的思考与实践[J].电气电子教学学报,2003(6):107-109. [2] 夏鲁惠.高等学校毕业设计(论文)教学情况调研报告[J].高等理科教育,2004(1):46-52. [3] Heider, E.R.& D.C.Oliver. The structure of color space in naming and memory of two languages [J]. Foreign Language Teaching and Research, 1999, (3): 62 67. 2.专著类

建设部文献中英文对照

贯彻落实科学发展观大力发展节能与绿色建筑 (2005年2月23日) 中华人民共和国建设部 节能建筑是按节能设计标准进行设计和建造、使其在使用过程中降低能耗的建筑。 绿色建筑是指为人们提供健康、舒适、安全的居住、工作和活动的空间,同时在建筑全生命周期(物料生产,建筑规划、设计、施工、运营维护及拆除过程)中实现高效率地利用资源(能源、土地、水资源、材料)、最低限度地影响环境的建筑物。绿色建筑也有人称之为生态建筑、可持续建筑。 一、发展节能与绿色建筑的重要意义 建筑作为人工环境,是满足人类物质和精神生活需要的重要组成部分。然而,人类对感官享受的过度追求,以及不加节制的开发与建设,使现代建筑不仅疏离了人与自然的天然联系和交流,也给环境和资源带来了沉重的负担。据统计,人类从自然界所获得的50%以上的物质原料用来建造各类建筑及其附属设施,这些建筑在建造与使用过程中又消耗了全球能源的50%左右;在环境总体污染中,与建筑有关的空气污染、光污染、电磁污染等就占了34%;建筑垃圾则占人类活动产生垃圾总量的40%;在发展中国家,剧增的建筑量还造成侵占土地、破坏生态环境等现象日益严重。中国正处于工业化和城镇化快速发展阶段,要在未来15年保持GDP年均增长7%以上,将面临巨大的资源约束瓶颈和环境恶化压力。严峻的事实告诉我们,中国要走可持续发展道路,发展节能与绿色建筑刻不容缓。 绿色建筑通过科学的整体设计,集成绿色配置、自然通风、自然采光、低能耗围护结构、新能源利用、中水回用、绿色建材和智能控制等高新技术,具有选址规划合理、资源利用高效循环、节能措施综合有效、建筑环境健康舒适、废物排放减量无害、建筑功能灵活适宜等六大特点。它不仅可以满足人们的生理和心理需求,而且能源和资源的消耗最为经济合理,对环境的影响最小。 胡锦涛同志指出:要大力发展节能省地型住宅,全面推广节能技术,制定并强制执行节能、节材、节水标准,按照减量化、再利用、资源化的原则,搞好资源综合利用,实现经济社会的可持续发展。温家宝和曾培炎同志也多次指出,建筑节能不仅是经济问题,而且是重要的战略问题。 发展节能与绿色建筑是建设领域贯彻“三个代表”重要思想和十六大精神,认真落实以人为本,全面、协调、可持续的科学发展观,统筹经济社会发展、人与

网络安全外文翻译文献

网络安全外文翻译文献 (文档含英文原文和中文翻译) 翻译: 计算机网络安全与防范 1.1引言 计算机技术的飞速发展提供了一定的技术保障,这意味着计算机应用已经渗透到社会的各个领域。在同一时间,巨大的进步和网络技术的普及,社会带来了巨大的经济利润。然而,在破坏和攻击计算机信息系统的方法已经改变了很多的网络环境下,网络安全问题逐渐成为计算机安全的主流。

1.2网络安全 1.2.1计算机网络安全的概念和特点 计算机网络的安全性被认为是一个综合性的课题,由不同的人,包括计算机科学、网络技术、通讯技术、信息安全技术、应用数学、信息理论组成。作为一个系统性的概念,网络的安全性由物理安全、软件安全、信息安全和流通安全组成。从本质上讲,网络安全是指互联网信息安全。一般来说,安全性、集成性、可用性、可控性是关系到网络信息的相关理论和技术,属于计算机网络安全的研究领域。相反,狭隘“网络信息安全”是指网络安全,这是指保护信息秘密和集成,使用窃听、伪装、欺骗和篡夺系统的安全性漏洞等手段,避免非法活动的相关信息的安全性。总之,我们可以保护用户利益和验证用户的隐私。 计算机网络安全有保密性、完整性、真实性、可靠性、可用性、非抵赖性和可控性的特点。 隐私是指网络信息不会被泄露给非授权用户、实体或程序,但是授权的用户除外,例如,电子邮件仅仅是由收件人打开,其他任何人都不允许私自这样做。隐私通过网络信息传输时,需要得到安全保证。积极的解决方案可能会加密管理信息。虽然可以拦截,但它只是没有任何重要意义的乱码。 完整性是指网络信息可以保持不被修改、破坏,并在存储和传输过程中丢失。诚信保证网络的真实性,这意味着如果信息是由第三方或未经授权的人检查,内容仍然是真实的和没有被改变的。因此保持完整性是信息安全的基本要求。 可靠性信息的真实性主要是确认信息所有者和发件人的身份。 可靠性表明该系统能够在规定的时间和条件下完成相关的功能。这是所有的网络信息系统的建立和运作的基本目标。 可用性表明网络信息可被授权实体访问,并根据自己的需求使用。 不可抵赖性要求所有参加者不能否认或推翻成品的操作和在信息传输过程中的承诺。

中英文参考文献格式

中英文参考文献格式! (細節也很重要啊。。)来源:李菲玥的日志 规范的参考文献格式 一、参考文献的类型 参考文献(即引文出处)的类型以单字母方式标识,具体如下: M——专著C——论文集N——报纸文章J——期刊文章 D——学位论文R——报告S——标准P——专利 A——文章 对于不属于上述的文献类型,采用字母“Z”标识。 常用的电子文献及载体类型标识: [DB/OL]——联机网上数据(database online) [DB/MT]——磁带数据库(database on magnetic tape) [M/CD]——光盘图书(monograph on CD ROM) [CP/DK]——磁盘软件(computer program on disk) [J/OL]——网上期刊(serial online) [EB/OL]——网上电子公告(electronic bulletin board online) 对于英文参考文献,还应注意以下两点: ①作者姓名采用“姓在前名在后”原则,具体格式是:姓,名字的首字母. 如:Malcolm R ichard Cowley 应为:Cowley, M.R.,如果有两位作者,第一位作者方式不变,&之后第二位作者名字的首字母放在前面,姓放在后面,如:Frank Norris 与Irving Gordon应为:Norri s, F. & I.Gordon.; ②书名、报刊名使用斜体字,如:Mastering English Literature,English Weekly。二、参考文献的格式及举例 1.期刊类 【格式】[序号]作者.篇名[J].刊名,出版年份,卷号(期号):起止页码. 【举例】 [1] 周融,任志国,杨尚雷,厉星星.对新形势下毕业设计管理工作的思考与实践[J].电气电子教学学报,2003(6):107-109.

医学文献中英文对照

动脉粥样硬化所导致的心脑血管疾病是目前发病率和死亡率较高的疾病之一。在动脉粥样硬化的形成过程中, 内皮细胞病变是其中极其重要的因素,最显著的变化是动脉内皮功能紊乱, 血管内皮细胞的损伤和功能改变是动脉粥样硬化发生的起始阶段。 Cardiovascular and cerebrovascular disease caused by atherosclerosis is one of diseases with higher mortality and morbidity at present . In the formation of atherosclerosis, the endothelial cell lesion is one of the most important factors, in which, the most significant change is endothelial dysfunction. In addition, the injuries and the changes of vascular endothelial cells are the initial factors of atherosclerosis. 许多因素会导致血管内皮细胞受损, 主要包括脂多糖(Lipopolysaccharides , LPS)、炎症介质、氧自由基等。其中脂多糖因其广泛的生物学作用, 越来越引起研究者的关注。LPS 是一种炎症刺激物, 是革兰阴性杆菌细胞壁的主要组成成分,其通过刺激血管内皮细胞,引起其相关细胞因子和炎性因子的表达紊乱,尤其是Ca2+ 和活性氧簇(Reactive Oxygen Species , ROS的合成和释放发生改变诱导细胞氧化应激内环境紊乱。大量研究表明, LPS 直接参与动脉粥样硬化的形成过程, 特别是动脉粥样硬化血管炎症的初始阶段, LPS可通过直接作用或间接影响的方式激活并损伤内皮细胞,从而引 起血管内皮细胞形态与功能的改变。 Many factors induce vascular endothelial cell damage, including lipopolysaccharides (LPS), inflammatory mediators and oxygen free

外文翻译---宽带接入技术

附录1 外文文献译文 宽带接入技术 宽带接入技术是在电信和视频网络行业的催生影响下产生出来的,其应用主要体现在物理层。相反,数据网络行业的关注点主要集中在网络层和运输层上(例如IP电话)。形成这种应用方法上的区别的理由是,一旦传输的内容被数字化,就能作为数据进行处理。换句话说,从网络的观点来看,网络只是以数字的形式来传输数据。在应用层上,这些数据位不论实际代表话音、数据,还是代表视频流都没有区别。因此,数据网络行业在让数据流适应跨网传输这方面并没有做什么。另外一方面,电信和视频网络行业必须解决利用现有接入基础设施将内容以分组数据传输的方法进行传输。这成为在开发下述几类宽带接入技术中的重要催化剂。 铜回路接入技术,又称为数字用户线(DSL)技术。DSL技术又统称XDSL。XDSL是由电信行业开发的,利用了世界范围内的几百万英里长的现有铜回路通信设施。 光纤/同轴电缆的电缆接入技术。电缆接入是由视频网络行业中的有线电视部分开发的,利用了已经连接全世界几百万个(大多数是常驻的)用户的视频信道电缆基础设施。 在线卫星接入技术。卫星接入是由视频网络行业中的无线部门开发的,通过使用卫星基础设施,经视频信道接到有线电视中心终端局并传送到世界范围内的几百用户(大多数是常驻的)。 非对称数字用户线(ADSL) 20世纪80年代,ADSL作为电信行业为应对电缆行业支持视频点播需求而提供的一种解决方案,首先被开发了出来。然而直到20世纪90年代中期才认识到,它也可以作为能访问像因特网这样的高速业务的技术。ADSL提供非对称传输,典型的下传速率可达到9Mb/s (从中心局到设备),上传速率为16Kb/s到640Kb/s (从设备到中心局)。像所有的铜线传输系统一样,速率越高,传输范围越短。 混合光纤同轴网技术(HFC) 混合光纤同轴网技术是基于现有的有线电视(有线TV或CATV)技术的。

英文引用及参考文献格式要求

英文引用及参考文献格式要求 一、参考文献的类型 参考文献(即引文出处)的类型以单字母方式标识,具体如下: M——专著C——论文集N——报纸文章 J——期刊文章D——学位论文R——报告 对于不属于上述的文献类型,采用字母“Z”标识。 对于英文参考文献,还应注意以下两点: ①作者姓名采用“姓在前名在后”原则,具体格式是:姓,名字的首字母.如:MalcolmRichardCowley应为:Cowley,M.R.,如果有两位作者,第一位作者方式不变,&之后第二位作者名字的首字母放在前面,姓放在后面,如:FrankNorris与IrvingGordon应为:Norris,F.&I.Gordon.; ②书名、报刊名使用斜体字,如:MasteringEnglishLiterature,EnglishWeekly。 二、参考文献的格式及举例 1.期刊类 【格式】[序号]作者.篇名[J].刊名,出版年份,卷号(期号):起止页码. 【举例】 [1]王海粟.浅议会计信息披露模式[J].财政研究,2004,21(1):56-58. [2]夏鲁惠.高等学校毕业论文教学情况调研报告[J].高等理科教育,2004(1):46-52. [3]Heider,E.R.&D.C.Oliver.Thestructureofcolorspaceinnamingandmemo ryoftwolanguages[J].ForeignLanguageTeachingandResearch,1999,(3):62–6 7. 2.专著类 【格式】[序号]作者.书名[M].出版地:出版社,出版年份:起止页码. 【举例】[4]葛家澍,林志军.现代西方财务会计理论[M].厦门:厦门大学出版社,2001:42. [5]Gill,R.MasteringEnglishLiterature[M].London:Macmillan,1985:42-45. 3.报纸类 【格式】[序号]作者.篇名[N].报纸名,出版日期(版次). 【举例】 [6]李大伦.经济全球化的重要性[N].光明日报,1998-12-27(3). [7]French,W.BetweenSilences:AVoicefromChina[N].AtlanticWeekly,198 715(33). 4.论文集 【格式】[序号]作者.篇名[C].出版地:出版者,出版年份:起始页码. 【举例】 [8]伍蠡甫.西方文论选[C].上海:上海译文出版社,1979:12-17. [9]Spivak,G.“CantheSubalternSpeak?”[A].InC.Nelson&L.Grossberg(e ds.).VictoryinLimbo:Imigism[C].Urbana:UniversityofIllinoisPress,1988, pp.271-313.

平面设计中英文对照外文翻译文献

(文档含英文原文和中文翻译) 中英文翻译 平面设计 任何时期平面设计可以参照一些艺术和专业学科侧重于视觉传达和介绍。采用多种方式相结合,创造和符号,图像和语句创建一个代表性的想法和信息。平面设计师可以使用印刷,视觉艺术和排版技术产生的最终结果。平面设计常常提到的进程,其中沟通是创造和产品设计。 共同使用的平面设计包括杂志,广告,产品包装和网页设计。例如,可能包括产品包装的标志或其他艺术作品,举办文字和纯粹的设计元素,如形状和颜色统一件。组成的一个最重要的特点,尤其是平面设计在使用前现有材料或不同的元素。 平面设计涵盖了人类历史上诸多领域,在此漫长的历史和在相对最近爆炸视觉传达中的第20和21世纪,人们有时是模糊的区别和重叠的广告艺术,平面设计和美术。毕竟,他们有着许多相同的内容,理论,原则,做法和语言,有时同样的客人或客户。广告艺术的最终目标是出售的商品和服务。在平面

设计,“其实质是使以信息,形成以思想,言论和感觉的经验”。 在唐朝( 618-906 )之间的第4和第7世纪的木块被切断打印纺织品和后重现佛典。阿藏印在868是已知最早的印刷书籍。 在19世纪后期欧洲,尤其是在英国,平面设计开始以独立的运动从美术中分离出来。蒙德里安称为父亲的图形设计。他是一个很好的艺术家,但是他在现代广告中利用现代电网系统在广告、印刷和网络布局网格。 于1849年,在大不列颠亨利科尔成为的主要力量之一在设计教育界,该国政府通告设计在杂志设计和制造的重要性。他组织了大型的展览作为庆祝现代工业技术和维多利亚式的设计。 从1892年至1896年威廉?莫里斯凯尔姆斯科特出版社出版的书籍的一些最重要的平面设计产品和工艺美术运动,并提出了一个非常赚钱的商机就是出版伟大文本论的图书并以高价出售给富人。莫里斯证明了市场的存在使平面设计在他们自己拥有的权利,并帮助开拓者从生产和美术分离设计。这历史相对论是,然而,重要的,因为它为第一次重大的反应对于十九世纪的陈旧的平面设计。莫里斯的工作,以及与其他私营新闻运动,直接影响新艺术风格和间接负责20世纪初非专业性平面设计的事态发展。 谁创造了最初的“平面设计”似乎存在争议。这被归因于英国的设计师和大学教授Richard Guyatt,但另一消息来源于20世纪初美国图书设计师William Addison Dwiggins。 伦敦地铁的标志设计是爱德华约翰斯顿于1916年设计的一个经典的现代而且使用了系统字体设计。 在20世纪20年代,苏联的建构主义应用于“智能生产”在不同领域的生产。个性化的运动艺术在俄罗斯大革命是没有价值的,从而走向以创造物体的功利为目的。他们设计的建筑、剧院集、海报、面料、服装、家具、徽标、菜单等。 Jan Tschichold 在他的1928年书中编纂了新的现代印刷原则,他后来否认他在这本书的法西斯主义哲学主张,但它仍然是非常有影响力。 Tschichold ,包豪斯印刷专家如赫伯特拜耳和拉斯洛莫霍伊一纳吉,和El Lissitzky 是平面设计之父都被我们今天所知。 他们首创的生产技术和文体设备,主要用于整个二十世纪。随后的几年看到平面设计在现代风格获得广泛的接受和应用。第二次世界大战结束后,美国经济的建立更需要平面设计,主要是广告和包装等。移居国外的德国包豪斯设计学院于1937年到芝加哥带来了“大规模生产”极简到美国;引发野火的“现代”建筑和设计。值得注意的名称世纪中叶现代设计包括阿德里安Frutiger ,设计师和Frutiger字体大学;保兰德,从20世纪30年代后期,直到他去世于1996年,采取的原则和适用包豪斯他们受欢迎的广告和标志设计,帮助创造一个独特的办法,美国的欧洲简约而成为一个主要的先驱。平面设计称为企业形象;约瑟夫米勒,罗克曼,设计的海报严重尚未获取1950年代和1960年代时代典型。 从道路标志到技术图表,从备忘录到参考手册,增强了平面设计的知识转让。可读性增强了文字的视觉效果。 设计还可以通过理念或有效的视觉传播帮助销售产品。将它应用到产品和公司识别系统的要素像标志、颜色和文字。连同这些被定义为品牌。品牌已日益成为重要的提供的服务范围,许多平面设计师,企业形象和条件往往是同时交替使用。

数字网络外文翻译

中文1721字 附录 DIGITAL NETWORK The ISDN will be a worldwide public telecommunications network that will deliver a wide variety of services. The ISDN will be defined by the standardization of user interfaces, and will be implemented as a set of digital switches and paths supporting a broad range of traffic types and providing value-added processing services. In practice, there will be multiple networks, implemented within national boundaries, but from the user’s point of view there will be a single, unif ormly accessible worldwide network. There are two key aspects to ISDN: universal access and user services. By standardizing the interfaces to ISDN, all ISDN-compatible equipment (e. g. ,telephones computer terminals, personal computers )will be able to attach to the network anywhere in the world and connect to any other attached system. This can lead to extraordinary flexibility. For example, telephone numbers could be assigned in the same fashion as U.S. social security numbers, good for a lifetime. No matter where you lived, or how often you moved, dialing the number permanently assigned to you would always ring your telephone. A digitized network is a prime requirement for integrating a

化妆品常用词汇中英文对照

一、化妆品类别 二、功效类 三、彩妆类 四、常见名称 五、化妆品 INGREDIENTS(成份)中文标示简介 一、化妆品类别 护?? 肤:skin care 洗面奶:facial cleanser/face wash(Foaming,milky,cream,Gel) 爽肤水:toner/astringent 紧肤水:firming lotion 柔肤水:toner/smoothing toner (facial mist/facial spray/comple xion mist) 护肤霜:moisturizers and creams 精华:serum/essence 喷雾:spa water/spray 乳液:fluid 保?? 湿:moisturizer 隔离霜,防晒:sun screen/sun block 美白:whitening 露:lotion,霜:cream 日霜:day cream 晚霜:night cream 眼部GEL: eye gel 面膜: facial mask/masque 眼膜: eye mask 护唇用:Lip care

口红护膜:Lip coat 磨砂膏: facial scrub 去黑头: (deep) pore cleanser/striper pore refining 去死皮: Exfoliating Scrub 润肤露(身体):bodylotion/moisturizer 护手霜: hand lotion/moisturizer 沐浴露: body wash 二、功效类 Acne/Spot(青春痘用品) Active(赋活用) After sun(日晒后用品) Alcohol-free(无酒精) Anti-(抗、防) Anti- wrinkle(抗老防皱) Balancing(平衡酸硷) Clean-/Purify-(清洁用) Combination(混合性皮肤) Dry(干性皮肤) Essence(精华液) Facial(脸部用) Fast/Quick dry(快干) Firm(紧肤) Foam(泡沫) Gentle(温和的)

统计学中英文对照外文翻译文献

中英文对照翻译 (文档含英文原文和中文翻译) Policies for Development of Iron and Steel Industry The iron and steel industry is an important basic industry of the national economy, a supporting industry for realizing the industrialization and an intensive industry in technologies, capital, resources and energy, and its development requires a comprehensive balancing of all kinds of external conditions. China is a big developing country with a comparatively big demand of iron and steel in the economic development for a long time to go. China's production capacity of iron and steel has ranked the first place in the world for many years. However, there is a large gap in terms of the technological level and material consumption of the iron and steel industry compared with the international advanced level, so the focus of development for the future shall be put on technical upgrading and structural adjustment. In order to enhance the whole technical level of the iron and steel industry, promote the structural adjustment, improve the industrial layout, develop a recycling economy, lower the consumption of materials and energy, pay attention to the environmental protection, raise the comprehensive competitive capacity of enterprises, realize the industrial upgrading, and develop the iron and steel industry into an industry with

通信类外文文献翻译蜂窝网络中的全双工通信设备

蜂窝网络中的全双工通信设备 Sanghoon Kim和韦恩·斯塔克 密歇根州大学,安阿伯,MI48109 / 摘要——在本文中,我们研究了单波段全双工通信设备的性能改进,它可以发送和接收蜂窝网络同一频率的波段。在蜂窝网络中,两个不同的频率能够同时发送和接收半双工无线电。最近,全双工无线电允许无线节点同时发送和接收同一个频段。这表明,对于短距离通信,它是有作用的。同样,全双工通信是适合设备到设备(D2D )通信的,D2D通信通常是一个短距离通信。(D2D)通信是蜂窝网络中的垫片方案,使对等网络对主蜂窝网络产生有限的影响。当用户设备更靠近其他用户设备,而不是基站时,D2D通信会提高使用者之间通信的带宽效率。当全双工通信用于D2D通信时,本地用户之间的双向通信就只需要一个频段。全双工通信提高了D2D通信的带宽效率。我们提出了一个简单的全双工D2D通信协议,并对比传统蜂窝通信方案来分析该协议的带宽增益。 I.简介 无线网络经常在带宽效率或能量效率中受到限制。蜂窝网络通常依靠两个使用者之间的通信并利用大量基础设施来检查基站。如果两个使用者无限接近,那么频谱和能量的利用就不够有效,若此次通信包含大量信息,那么效率会更低。D2D通信直接发生在两个设备间,而不需通过一些基础设施,当D2D通信是适合的,协议的设计也是适合的,那么D2D通信就有了决定性的挑战。设备到设备的通信已包含在诸如IEEE802.11分布式标准中。在IEEE 802.11网络中,无线节点感知到信道,并决定它是否可以发送一个分组。在分布式无线网络中,节点采用了碰撞避免机制,例如CSMA / CA或RTS/ CTS协议。当一个接入点(AP)通常用于IEEE802.11 网络时,AP不直接控制任何的信道访问或资源分配。然而,设备到设备的通信没有被应用在蜂窝网络中。在蜂窝网络中,信息通过基站发送到目标用户设备(UE)。基站一般控制信道访问和分配资源,即使该通信发生在同一单元的用户设备中。 图片1:双工通信系统 图片2:全双工D2D和基础设施通信的比较

中英文论文参考文献范例

https://www.360docs.net/doc/f33701887.html, 中英文论文参考文献 一、中英文论文期刊参考文献 [1].面向中英文混合环境的多模式匹配算法. 《软件学报》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2008年3期.孙钦东.黄新波.王倩. [2].基于自适应特征与多级反馈模型的中英文混排文档分割. 《自动化学报》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2006年3期.夏勇.王春恒.戴汝为. [3].基于最大熵方法的中英文基本名词短语识别. 《计算机研究与发展》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI 收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2003年3期.周雅倩.郭以昆.黄萱菁.吴立德. [4].中英文指代消解中待消解项识别的研究. 《计算机研究与发展》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI 收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2012年5期.孔芳.朱巧明.周国栋. [5].基于树核函数的中英文代词消解?. 《软件学报》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2013年5期.孔芳.周国栋. [6].基于树核函数的中英文代词消解. 《软件学报》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2012年5期.孔芳.周国栋. [7].一种并行中英文混合多模式匹配算法. 《计算机工程》.被中信所《中国科技期刊引证报告》收录ISTIC.被北京大学《中文核心期刊要目总览》收录PKU.2014年4期.王震.李仁发.李彦彪.田峥. [8].中英文混合文章识别问题. 《软件学报》.被中信所《中国科技期刊引证报告》收录ISTIC.被EI收录EI.被北京大学《中文核心期刊要目总览》收录PKU.2005年5期.王恺.王庆人.

教育类的中英文文献对照

对菲律宾学校辅导员学习观的探索 艾伦 B.I.贝尔纳多著 [摘要]学生学习改革是学校改革的重中之重,辅导员在学生的学习和进步中起着推动作用.然而,辅导员对学习过程有着怎样的理解呢?在这个研究中,我们调查了115个菲律宾学校的辅导员.就学习过程和影响学习的因素,他们对42个州的看法和做法表明了态度.一个对42个州的回应分析报告阐释了三个因素:(F1)社会认知构建主义,(F2)以教师或课程为中心的行为主义,(F3)个别差异.研究的主要成果是菲律宾学校辅导员的学习观对引导并促进学生的学习和进步起着很大影响. [关键词]学习观,学习概念,学校辅导员,学生学习,菲律宾 世界上许多不同地区的学校改革都将重点放在学生学习上.特别是,大多数学校改进项目都将学生能接受高质量的教育和技能作为自己的目标,以帮助学生活跃于当今竞争激烈的全球经济社会(如:Lee & Williams, 2006).在这方面,学校改革项目吸取了当代一些学习理论和研究(如:Bransford, Brown, & Cocking, 1999; Lambert & McCombs, 1998).其中中心思想是学校改进的重点应致力于保证学生高质量的学习或接受有明确目标和标准的课程.例如,教科书(Chien & Young, 2007),计算机与教育技术(Gravoso, 2002; Haertnel & Means, 2003; Technology in Schools Task Force, 2003),教育评估体系(Black & Wiliam, 2004; Cheung & Ng, 2007; Clark, 2001; Stiggins, 2005)被重新考虑,因为这些支撑性的技术和资料影响着学生学习的进步.同样地,学校财政资源的管理和分配也被评估,以验证它们是否被充分调动起来促进学生学习.(Bolam, 2006; Chung & Hung, 2006; Retna, 2007) 从这方面来说,一些支持者号召在改革中对学校辅导员进行测试(Herr, 2002).在美国,House and Hays (2002) 提出学校辅导员在引导学生进步中应扮演积极的领导角色.与此同时,美国学校辅导员协会在1997年倡导,“学校辅导员计划视是为了促进和加强学习过程”.为了回应这个提议,一些人推荐了所谓的最好办法,让学校辅导员全面参与到促进学生学习中来.(如:Rowell & Hong, 2002; Sink, 2005). 提高学生的学习也是菲律宾学校改革的主题之一(Bernardo & Garcia, 2006; Bernado & Mendoza, 2009).然而,尽管学校辅导员在学生学习中的作用引起人们的

相关文档
最新文档