存储器习题

存储器习题
存储器习题

第10章存储器及其接口

典型试题

一.填空题

1.只读存储器ROM有如下几种类型:____。

答案:掩膜ROM、PROM、EPROM、E2PROM

2.半导体存储器的主要技术指标是____。

答案:存储容量、存储速度、可靠性、功耗、性能/价格比

3.在16位微机系统中,一个存储字占用两个连续的8位字节单元,字的低8位存放在____、高8位存放在____。

答案:低地址单元、高地址单元

4.SRAM芯片6116(2K×8B)有____位地址引脚线、____位数据引脚线。答案:11 8

5.在存储器系统中,实现片选控制有三种方法,它们是____。

答案:全译码法、部分译码法、线选法

6.74LS138译码器有三个“选择输入端”C、B、A及8个输出端,当输入地址码为101时,输出端____有效。

答案:

7.半导体静态存储器是靠____存储信息,半导体动态存储器是靠____存储信息。

答案:触发器电荷存储器件

8.对存储器进行读/写时,地址线被分为____和____两部分,它们分别用以产生____和____信号。

答案:片选地址片内地址芯片选择片内存储单元选择

二.单项选择题

1.DRAM2164(64K×1)外部引脚有()。

A.16条地址线、2条数据线

B.8条地址线、1条数据线

C.16条地址线、1条数据线

D.8条地址线、2条数据线

分析:从芯片容量(64K×1B)来看,有64K个编址单元,应有16条地址线(216=64K)。但DRAM芯片集成度高、容量大、引脚数量不够,一般输入地址线采用分时复用锁存方式,即将地址信号分成二组、共用一组线,分两次送入片内。而2164却有二条数据线,一条作为输入,一条作为输出。答案:D 2.8086能寻址内存贮器的最大地址范围为()。

A.64KB

B.512KB

C.1MB

D.16KB

分析:8086有20条地址总线A0~A19,它可以表示220=1M个不同的状态。答案:C

3.若用1K×4的芯片组成2K×8的RAM,需要()片。

A.2片

B.16片

C.4片

D.8片

分析:2片(1K×4)一组构成1K×8的RAM,所以需要4片。答案:C

4.某计算机的字长是32位,它的存储容量是64K字节,若按字编址,它的寻址范围是()。

A.16K

B.16KB

C.32K

D.64K

分析:因字长是32位,4个字节才能构成一字单元,若按字编址,则64KB÷4B=16K 答案:A

5.采用虚拟存储器的目的是()。

A.提高主存的速度

B. 扩大外存的存储空间

C.扩大存储器的寻址空间

D.提高外存的速度

分析:虚拟存储器的作用是使编程人员在写程序时不用考虑机器的实际内存容量,可以写出比实际配置的物理存储器容量大很多的程序。答案:C

6.RAM存储器中的信息是()。

A.可以读/写的

B.不会变动的

C.可永久保留的

D.便于携带的

分析:RAM是一种随机读/写存储器,一但掉电其存储的信息就会丢失。答案:A 7.用2164DRAM芯片构成8086的存储系统至少要()片。

A.16

B.32

C.64

D.8

分析:8086的存储系统必须有两存储体,偶存储体和奇存储体,才能进行字操作。而2164的容量为64K×1,需要8片才能构字节单元,即一个存储体至少要8片2164。答案:A

8.8086在进行存储器写操作时,引脚信号和DT/应该是()。

A.00

B.01

C.10

D.11

分析:当8086CPU访问内存时,=1,进行写操作时DT/=1。答案:D 9.某SRAM芯片上,有地址引脚线12根,它内部的编址单元数量为()。

A.1024

B.4096

C.1200

D.2K

分析:一般来说,SRAM芯片上的地址引脚线的数量就可反映出它内部的编址单元数量,计算方法:2n=编址单元数量,n为地址线数量。答案:B

10.存储器的性能指标不包含()项。

A.容量

B.速度

C.价格

D.可靠性

分析:存储器的性能一般是指容量、速度、可靠性这三项指标。答案:C 11.Intel2167(16K×1B)需要()条地址线寻址。

A.10

B.12

C.14

D.16

分析:芯片2167有16K个编址单元,214=24·210=16K。答案:C

12.用6116(2K×8B)片子组成一个64KB的存贮器,可用来产生片选信号的地址线是()。

A.A0~A10

B.A0~A15

C.A11~A15

D.A4~A19

分析:用来产生片选信号的地址线只能是剩余的高位地址线。答案:C

13.计算一个存储器芯片容量的公式为()。

A.编址单元数×数据线位数

B.编址单元数×字节

C.编址单元数×字长

D.

数据线位数×字长

分析:所谓芯片的容量是指芯片所能存贮二进制位的数目。答案:A

14.与SRAM相比,DRAM()。

A.存取速度快、容量大

B.存取速度慢、容量小

C.存取速度快,容量小

D.存取速度慢,容量大

答案:D

15.半导本动态随机存储器大约需要每隔()对其刷新一次。

A.1ms

B.1.5ms

C.1s

D.100μs

分析:一般动态随机存贮器需要每隔1~2ms对其刷新一次。答案:B

16.对EPROM进行读操作,仅当()信号同时有效才行。

A.、

B.、

C.、

D.、

分析:在EPROM芯片上,为片选信号,为允许输出信号。答案:B

三.多项选择题

1.DRAM的特点是()。

A.可读

B.可写

C.信息永久保存

D.需要刷新

E.一旦关机,其信息丢失

分析:DRAM是一种动态随机存储器,可以读或写,但每隔1~2ms要刷新一次。答案:A、B、D、E

2.一般所说存贮器的性能指标是指()。

A.价格

B.容量

C.速度

D.功耗

E.可靠性

答案:B、C、E

3.8086对存储器进行访问所涉及到的信号有()。

A. B. C.DT/ D. E./

分析:仅当8086 CPU响应中断请求INTR时,才发出信号。答案:A、C、D、E

4.哪些地址译码方式会产生地址重叠区?()

A.与非门

B.或非门

C.线译码

D.部分译码

E.全译码

分析:与非门和或非门只是作为一个译码电路,不能说明是否产生重叠区问题,而地址重叠区与参加的地址信号有关。答案:C、D

5.8086访问内存可能执行()总线周期。

A.一个

B.二个

C.三个

D.四个

E.五个

分析:8086 CPU每次可以和内存进行8位或16位数据交换;若是8位(一个字节)CPU需执行一个总线周期;若是16位(一个奇地址字单元)CPU需执行二个总线周期。答案:A、B

6.HM6116芯片上哪些引脚信号控制其工作方式?()

A. B. C. D. E.

分析:SRAM芯片6116不含有和两个引脚。答案:A、B、D

7.8086/8088不论是访问内存还是访问外设,在所发出的控制信号中相同的部分是()。

A. B./ C. D.DT/ E.ALE

分析:8086/8088 CPU访问内存和外设虽都发出信号,但电平是不同的,

访问内存=1,访问外设=0 答案:B、C、D、E

四.判断说明题

(1)PROM是可以多次改写的ROM。

答案:×说明:PROM只能写入一次,不能再次改写。

(2)E2PROM、PROM、ROM关机后,所存信息均不会丢失。

答案:√

(3)8086/8088一个字占用两个字节单元。

答案:×说明:8086一个字占用二个字节单元,而8088一个字占用一个字节单元。

(4)存储器芯片的片选信号采用部分译码方式不一定会产生地址重叠区。

答案:×说明:所谓部分译码就是用高位地址中的一部分,而没用的地址线不论为什么状态对片选信号都不会产生影响,所以必然有地址重叠区出现。

(5)RAM存储器需要每隔1~2ms刷新一次。

答案:×说明:RAM分为两种SRAM和DRAM,前者是静态RAM,是不必刷新,而后者是动态RAM要刷新。

(6)在8086系统中,其存贮器系统中的奇存储体和偶存储体总是对称的,拥有的存储空间是相等的。

答案:√

五.简答题

1.存储器与CPU连接时,应考虑哪些问题?

答:存储器与CPU连接主要是:地址线的连接、数据线的连接、控制线的连接。在连接中主要应考虑以下四个方面的问题:

a.CPU总线的带负载能力,即CPU总线能不能带得动。

b.CPU的时序和存储器的存取速度之间的配合问题。

c.存贮器的地址分配和选片问题。

d.控制信号的连接问题。

2.什么叫“地址重叠区”?什么情况下会产生重叠区?为什么?

答:若存在一个实际存储单元对应二个或二个以上的地址,或者说,有多个地址可以访问同一个存储单元,这种现象就称为重叠区。当采用线选法或部分译码法产生片选信号时就会产生重叠区。这是因为没有参加译码的地址线不论为什么状态,对产生片选信号无影响。

3.简述DRAM芯片的接口特点。

答:DRAM芯片通常存储容量比较大,引脚数量不够用,所以地址输入一般采用

分时复用地址线,把地址分为两组,两组地址信号分别由行地址选通信号和

列地址选通信号控制,送入芯片内锁存。

4.下列容量的存贮器,各需要多少条地址线寻址?若要组成32K×8位的内存,各需要几片这样的芯片?

a.Intel 1024(1K×1B) b.Intel 2114(1K×4B) c.Intel 2167(16K×1B) d.Zilog 6132(4K×8B)

答:地址线(条)需要片子(片)

a. 10 256

b. 10 64

c. 14 16

d. 12 8

5.什么叫“对准字”和“未对准字”,CPU对二者的访问有何不同?

答:当一个16位字的低8位存放在偶存储体,高8位存放在奇存储体,则该字称为“对准字”;反之低8位存放在奇存储体、高8位存放在偶存储体,则该字称为“未对准字”。CPU访问“对准字”只用一个总线周期,一次性的在内存上读/写16位数据。而CPU访问“未对准字”时,要用两个总线周期,第一个周期在奇存储体上读/写数据的低8位,第二个周期在偶存储体上读/写数据的高8位。

六.综合应用题

1.为某8位微机(地址总线为16位)设计一个12KB容量的存储器要求EPROM

区为8KB,从0000H开始,采用2716芯片;RAM区为4KB,从2000H开始,采用

6116芯片。试求:

①对各芯片地址分配。

②指出各芯片的片内选择地址线和芯片选择地址线。

③采用74LS138,画出片选地址译码电路。

分析:首先应清楚每种型号芯片的容量,是否需要几片才能构成字节存储单元。因2716容量为2K×8B、6116容量为2K×8B,所以各个芯片可以单独成组。根据设计存储器的容量,则需要4片2716、2片6116。每种芯片片内均有2K个编址单元,211=2K,需要11条地址线,剩余的高位地址线A15~A11可用以片选地址译码。

答:①、②如表3-3所示。③如图3-3所示。

表3-3 地址分配表

图3-3 74LS138片选译码电路

六.综合应用题

2.已知高位地址译码如图3-4所示,译码器为3:8译码器74LS138,试判断译码器输出端分别对应的地址。

图3-4 译码器连接图

1.如图3-5所示,这是一个存储系统,试回答RAM和EPROM的容量各是多少?

它们的地址范围又是多少?

图3-5 存储器示意图

六.综合应用题

分析:该系统存储器空间是由一片EPROM和两片RAM组成,采用74LS138作为片选地址译码器。解决这类问题,应从已知的图中得知各个芯片上的编址单元数,单元的长度和芯片的分组情况,从而可推算出各芯片或芯片组的容量;通过对片选译码器的分析,了解其输出与输入的关系,才可获知每芯片的片选地址,从而能推算出芯片的地址范围。

EPROM上有11条地址线,8条数据线,它可表示211×8个字节单元。

RAM上有10条地址线,4条数据线,所以用二片这样的RAM组成一个芯片组,用以表示210×8个字节单元。

74LS138译码器的输入为A19~A11,A、B、C端对应A11、A12、A13,仅当AS19~A14为全"1"时,G1=1,译码器才对A、B、C输入信号进行译码。

所以各芯片片选信号的地址信号A19~A14必须为"1",而A13、A12、A11用

以区别不同的片选信号。这里要注意的是地址A10对RAM芯片没用到,即没有参加片选译码,所以不论A0为"0"或为"1"

都不影响对RAM的片选,RAM有两个"重叠区"。

则EPROM的片选地址:A19A18A17A16A15A14A13A12A11

EPROM内部编址范围:00000000000~11111111111

EPROM的地址范围:FF800H~FFFFFH

RAM组的片选地址:A19A18A17A16A15A14A11

RAM组的内部编址范围:0000000000~1111111111

RAM组的地址范围:FD000H~FD7FFH,FD800H~FDFFFH 答:EPROM的存储容量为2K×8B,地址范围为FF800H~FFFFFH;

RAM组的存储容量为1K×8B,地址范围为FD000H~FDFFFH。

4.如图3-6所示,它是存储器同8086的连接图,试计算该存储器的地址范围,并说明该片选译码电路的特点。

图3-6 存储器与CPU的连接

分析:该题的解决方法与题(2)类似,要求出存储器的地址范围,必须要得知编址单元数及片选地址。

61256芯片有15条地址线,可知有215=32K个编址单元。

A18~A15作为74LS138译码器的输入地址信号,其输出作为61256芯片的片选信号

则:61256芯片的内部编址范围:000000000000000~111111*********。

61256芯片的片选地址:。

又因A19没参加译码,故A19为"0"或"1"均不影响对61256的片选,这样61256对应有4个片选地址:

61256的地址范围:10000H~17FFFH;

18000H~1FFFFH;

90000H~97FFFH;

98000H~9FFFFH。

答:61256存储器地址范围为10000H~1FFFFH或90000H~9FFFFH。该译码电路的特点是61256有4个片选信号,产生四个重叠区,即61256内的一个实际存储单元对应4个地址。

5.用4K×4B的EPROM存储器芯片组成一个16K×8B的只读存储器。试问:

①该只读存储芯片的数据线和地址线的位数?

②根据题意需要多少个4K×4的EPROM芯片?

③各个芯片的片选信号地址表达式?

④画出此存储器的结构图。

分析:已知存储芯片为4K×4B,显然单片是不能组成字节存储单元,将两片一组,组成4K个字节单元。要组成16K×8B的存储器,则需要4组这样的芯片。对4组芯片进行片选,若不考虑地址重叠区的问题,只需用2位地址作为片选译码地址就可以,不妨用A12、A13,采用2:4译码器。

答:①该只读存储器芯片有12条地址线、4条数据线。

②需8个4K×4的EPROM芯片。

③。

④该存储器的结构图如图3-7或如图3-8。

图3-7 存储器结构图

图3-8 存储器结构图

半导体存储器的基本知识

1.半导体存储器的分类

从应用角度可将半导体存储器分成两类:随机读写存储器RAM(Random Access Memory)和只读存储器ROM(Read Only Memory)。而根据它们的工作特点,RAM又分为静态RAM(Static RAM,SRAM)和动态RAM(Dynamic RAM ,DRAM),只读存储器又分为ROM、PROM、EPROM、EEPROM,如图3-1所示:考生必须识记这几种类型的存储器的使用符号,工作特性和应用场合,分清它们的区别。ROM只能读不能写,而PROM(可编程ROM)允许写一次,一但写入之后就变成永久性的ROM。二者多由厂家提供固化成熟的固定的程序或数据,其成本低,使用广泛,如IBM-PC机中的BIOS就是固化在ROM中。

EPROM和EEPROM都是可擦除的PROM,即存储芯片内的信息可以多次擦除和多次写入。它们常用以存放需要修改、升级的程序或数据。EPROM和EEPROM主

要的区别是它们采用不同的擦除方法,前者用光(紫外线照射)擦除,后者用电信号擦除。

SRAM和DRAM二者均是随机可读、写的存储器。SRAM其存储电路是以双稳态触发器为基础,只要不掉电,信息永不会丢失,不需要刷新电路。SRAM的主要性能是:存取速度快、功耗较大、容量较小。它一般适用于构成高速缓冲存储器(Cache);DRAM是依靠电容来存储信息,电路简单集成度高,但电容漏电,信息会丢失,故需要专用电路定期进行刷新。DRAM的主要性能是:容量大、功耗较小、速度较慢。它被广泛地用作内存贮器的芯片。

图32.关于存储器的几个基本概念

①存储器性能——是指存储容量、存储速度和可靠性这三项指标。若容量大、速度快、可靠性高,则认为该存贮器性能好;反之,则认为该存贮器性能差。当然,用以选择一个存贮器芯片的指标很多,如速度、容量、可靠性、价格、功耗、易失性等,但从应用角度来看,最主要的指标就是芯片的性能。

②存储速度——存储器的存储速度是用存取时间来衡量的,它是指从CPU给出有效的存储器地址到存储器给出有效数据所需的时间。存取时间越小,则速度越快。

③存储容量——一般是指一个存储器芯片所能存储二进制位的个数。即存储容量=芯片的编址单元数×数据线位数。常用存贮器芯片的数据线有1位、4位、8位之分。一般给出一个芯片容量的表示形式是m×n,其中m就是该芯片的编址单元数,n是该芯片的数据线条数。如Intel 2114芯片容量为1024×4,6264芯片为8192×8,2164芯片为64K×1。

④内存条——将多个存贮器芯片安装在一块小型印刷电路板的存储模块。其特点是安装容易,便于用户进行更换,也便于增加或扩充内存容量,可直接插在主板上的内存条插槽中。

数字电路与逻辑设计习题7第七章半导体存储器(精)

第七章半导体存储器 一、选择题 1.一个容量为1K ×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K ×8的R AM ,需要片容量为256×4的R AM 。 A.2 B.4 C.8 D. 32 3.寻址容量为16K ×8的RAM 需要根地址线。 A.4 B. 8 C.14 D. 16 E.16K 4.若R AM 的地址码有8位,行、列地址译码器的输入端都为4个,则它们的 输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K ×8 C. 256×8 D. 256×256 6. 采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D. 1024行1024列 7.随机存取存储器具有功能。 A. 读/写 B. 无读/写 C. 只读 D. 只写 8.欲将容量为128×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译

码器的输出端数为。 A.1 B.2 C.3 D. 8 9.欲将容量为256×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译 码器的输入端数为。 A.4 B.2 C.3 D. 8 10.只读存储器ROM 在运行时具有功能。 A. 读/无写 B. 无读/写 C. 读/写 D. 无读/无写 11.只读存储器R OM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为0 C. 不可预料 D. 保持不变 12.随机存取存储器RAM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为1 C. 不确定 D. 保持不变 13.一个容量为512×1的静态RAM 具有。 A. 地址线9根,数据线1根 B. 地址线1根,数据线9根 C. 地址线512根,数据线9根 D. 地址线9根,数据线512根 14.用若干R AM 实现位扩展时,其方法是将相应地并联在一起。 A. 地址线 B. 数据线 C. 片选信号线 D. 读/写线 15.PROM 的与陈列(地址译码器)是。 A. 全译码可编程阵列 B. 全译码不可编程阵列

静态存储器扩展实验报告

静态存储器扩展实验报告告圳大学实验报深

微机原理与接口技术 课程名称: 静态存储器扩展实验实验项目名称: 信息工程学院学院: 专业:电子信息工程

指导教师:周建华 32012130334 学号:班级:电子洪燕报告人:班 2014/5/21 实验时间: 实验报告提交时间:2014/5/26 教务部制. 一.实验目的与要求: 1. 了解存储器扩展的方法和存储器的读/写。 2. 掌握CPU对16位存储器的访问方法。

二.实验设备 PC机一台,TD-PITE实验装置或TD-PITC实验装置一套,示波器一台。 三.实验原理VCC28A141WE27A122A1326A73A8254A6存储器是用来存储信息的A924A55A1123A46OE22A3762256A10218A2CS209A1部件,是计算机的重要组成部D719A010D618D011D517D112D416D213D315GND14管组成的是由MOS分,静态RAM触发器电路,每个触发器可以存放1位

信息。只要不掉电,所储存的信息就不会丢失。因此,静态RAM工作稳定,不要外加刷新电路,使用方便。 但一般SRAM 的每一个触发器是由6个晶体管组成,SRAM 芯片的集成度不会太高,目前较常用的有6116(2K×8位),图4.1 62256引脚图6268位)622532位。本验平台上选. 用的是62256,两片组成32K×16位的形式,共64K字节。 62256的外部引脚图如图4.1所示。 本系统采用准32位CPU,具有16位外部

数据总线,即D0、D1、…、D15,地址总线为BHE#(#表示该信号低电平有效)、BLE #、A1、A2、…、A20。存储器分为奇体和偶体,分别由字节允许线BHE#和BLE#选通。 存储器中,从偶地址开始存放的字称为规则字,从奇地址开始存放的字称为非规则字。处理器访问规则字只需要一个时钟周期,BHE#和BLE#同时有效,从而同时选通存储器奇体和偶体。处理器访问非规则字却需要

存储器知识点小结知识讲解

CPU工作的实质即为不断从内存中取指令并执行指令的过程。 一、8086CPU构成 CPU的工作:取指令和执行指令 1.CPU内部两大功能部件:总线接口部件BIU和执行部件EU(2部件并行工作提高了CPU的工作效率) 重点:理解2个独立功能部件的分工和协同配合关系。 理解BIU内地址加法器的作用,理解指令队列的作用。 2.掌握CPU内部寄存器的作用 包括:通用寄存器AX,BX,CX,DX,BP,SP,SI,DI 段寄存器CS,DS,SS,ES 指令指针寄存器IP 标志寄存器FLAG 二、存储器的基础知识 1.物理地址 8086的存储器是以字节(即每个单元存放8位二进制数)为单位组织的。8086CPU具有20条地址总线,所以可访问的存储器地址空间容量为220即1M字节(表示为1MB)。每个单元对应一个唯一的20位地址,对于1MB存储器,其地址范围用16进制表示为00000H~0FFFFFH,如图1所示。 地址低端 地址高端 图1 1MB存储器地址表示 物理地址:存储器的每个单元都有一个唯一的20位地址,将其称为物理地址。 2.字节地址与字地址 存储器内两个连续的字节,定义为一个字,一个字中的每个字节,都有一个字节地址,每个字的低字节(低8位)存放在低地址中,高字节(高8位)存放在高地址中。字的地址指低字节的地址。各位的编号方法是最低位为位0,一个字节中,最高位编号为位7;一个字中最高位的编号为位15。 字数据在存储器中存放的格式如图2所示。

地址低端 地址高端 图2 字数据在存储器中的存放 3.单元地址与内容 内容 单元地址 图3 如图3,地址是00100H 的字节单元的内容为27H,表示为(00100H)= 27H。 图3中字数据3427H存放在地址是00100H和00101H的两个字节单元中,其中低字节27H在低地址的字节单元00100H中,高字节34H在高地址的字节单元00101H中,字数据3427H的地址是低地址00100H。地址是00100H的字单元的内容为3427H,表示为(00100H)= 3427H 可见一个地址既可作字节单元的地址,又可作字单元的地址,视使用情况而定。 总结: 字节单元:(00100H)=27H 字单元:(00100H)=3427H 设寄存器DS=0000H, 用MOV指令访问字节单元:MOV AL,[0100H] 用MOV指令访问字单元:MOV AX,[0100H] 三、存储器的分段 1.为什么要分段

实验十四 存储器扩展机读写实验

实验十四存储器扩展机读写实验 一、实验目的 (1)通过阅读并测试示例程序,完成程序设计题,熟悉静态RAM的扩展方法。 (2)了解8086/8088与存储器的连接,掌握扩展存储器的读写方法。 二、实验内容 1.实验原理(62256RAM介绍) 62256是32*8的静态存储器,管脚如图所示。其中:A0~A14为地址线,DB0~DB7为数据线,/cs为存储器的片选,/OE为存储器数据输出选通信号,/WE为数据写入存储器信号。62256工作方式如下图。 /CS /WE /OE 方式DB-~DB7 H X X 未选中高阻 L H H 读写禁止高阻 L L H 写IN L H L 读OUT 2.实验内容 设计扩展存储电器的硬件连接图并编制程序,讲字符A~Z循环存入62256扩展RAM 中,让后再检查扩展存储器中的内容。 三、程序设计 编写升序,将4KB扩展存储器交替写入55H和0AAH。 程序如下: RAMADDR EQU 0000H RAMOFF EQU 9000H COUNT EQU 800H CODE SEGMENT ASSUME CS:CODE START: PROC NEAR MOV AX,RAMADDR MOV DS,AX MOV BX,RAMOFF MOV CX,COUNT MOV DL,55h MOV AX ,0AAH REP: MOV [BX],DL INC BX MOV [BX],AX INC BX LOOP REP JMP $ CODE ENDS END START 四、实验结果 通过在软件上调试,运行时能够看到内存地址的改变,证明此扩展的程序成功实现了。 五、实验心得

可编程逻辑器件数字电子技术第章存储器与可编程逻辑器件习题及答案

可编程逻辑器件数字电子技术第章存储器与可编程逻辑器件习题及答 案

第8章 存储器与可编程逻辑器件 8.1存储器概述 自测练习 1.存储器中可以保存的最小数据单位是()。 2.(a)位(b)字节(c)字 3.指出下列存储器各有多少个基本存储单元?多少存储单元?多少字?字长多少? (a)2K×8位()()()() (b)256×2位()()()() (c)1M×4位()()()() 3.ROM是()存储器。 (a)非易失性(b)易失性 (c)读/写(d)以字节组织的 4.数据通过()存储在存储器中。 (a)读操作(b)启动操作 (c)写操作(d)寻址操作 5.RAM给定地址中存储的数据在()情况下会丢失。 (a)电源关闭(b)数据从该地址读出 2

(c)在该地址写入数据(d)答案(a)和(c) 6.具有256个地址的存储器有()地址线。 (a)256条(b)6条(c)8条(d)16条 7.可以存储256字节数据的存储容量是()。 (a)256×1位(b)256×8位 (c)1K×4位(d)2K×1位 答案: 1.a 2.(a)2048×8;2048;2048;8 (b)512;256;256;2 (c)1024×1024×4;1024×1024;1024×1024;4 3.a 4.c 5.d 6.c 7.b 8.2随机存取存储器(RAM) 自测练习 1.动态存储器(DRAM)存储单元是利用()存储信息的,静态存储器(SRAM)存 储单元是利用()存储信息的。

2.为了不丢失信息,DRAM必须定期进行()操作。 3.半导体存储器按读、写功能可分成()和()两大类。 4.RAM电路通常由()、()和()三部分组成。 5.6116RAM有()根地址线,()根数据线,其存储容量为()位。 答案: 1.栅极电容,触发器 2.刷新 3.只读存储器,读/写存储器 4.地址译码,存储矩阵,读/写控制电路 5.11,8,2K×8位 8.3只读存储器(ROM) 自测练习 1.ROM可分为()、()、()和()几种类型。 2.ROM只读存储器的电路结构中包含()、()和()共三个组成部分。 3.若将存储器的地址输入作为(),将数据输出作为(),则存储器可实现组合逻辑电路的功能。 4.掩膜ROM可实现的逻辑函数表达式形式是()。 5.28256型EEPROM有()根地址线,()根数据线,其存储容量为()位,是以字节数据存储信息的。 6.EPROM是利用()擦除数据的,EEPROM是利用()擦除数据的。 4

实验一扩展存储器读写实验

实验一:扩展存储器读写实验 一.实验要求 编制简单程序,对实验板上提供的外部存贮器(62256)进行读写操作。 二.实验目的 1.学习片外存储器扩展方法。 2.学习数据存储器不同的读写方法。 三.实验电路及连线 将P1.0接至L1。CS256连GND孔。 四.实验说明 1.单片机系统中,对片外存贮器的读写操作是最基本的操作。用户藉此来熟悉MCS51单片机编程的基本规则、基本指令的使用和使用本仿真实验系统调试程序的方法。 用户编程可以参考示例程序和流程框图。本示例程序中对片外存贮器中一固定地址单元进行读写操作,并比较读写结果是否一致。不一致则说明读写操作不可靠或该存储器单元不可靠,程序转入出错处理代码段(本示例程序通过熄灭一个发光二极管来表示出错)。读写数据的选用,本例采用的是55(0101,0101)与AA(1010,1010)。一般采用这两个数据的读写操作就可查出数据总线的短路、断路等,在实际调试用户电路时非常有效。 用户调试该程序时,可以灵活使用单步、断点和变量观察等方法,来观察程序执行的流程和各中间变量的值。 2.在I状态下执行MEM1程序,对实验机数据进行读写,若L1灯亮说明RAM读

写正常。 3.也可进入LCA51的调试工具菜单中的对话窗口,用监控命令方式读写RAM,在I状态执行SX0000↓ 55,SPACE,屏幕上应显示55,再键入AA,SPACE,屏幕上也应显示AA,以上过程执行效果与编程执行效果完全相同。 注:SX是实验机对外部数据空间读写命令。 4.本例中,62256片选接地时,存储器空间为0000~7FFFH。 五.实验程序框图 实验示例程序流程框图如下: 六.实验源程序: ORG 0000H LJMP START ORG 0040H START:

ARM存储器结构

ARM存储器结构 ARM存储器:片内Flash、片内静态RAM、片外存储器 映射就是一一对应的意思。重映射就是重新分配这种一一对应的关系。 我们可以把存储器看成一个具有输出和输入口的黑盒子。输入量是地址,输出的是对应地址上存储的数据。当然这个黑盒子是由很复杂的半导体电路实现的,具体的实现的方式我们现在不管。存储单位一般是字节。这样,每个字节的存储单元对应一个地址,当一个合法地址从存储器的地址总线输入后,该地址对应的存储单元上存储的数据就会出现在数据总线上面。 普通的单片机把可执行代码和数据存放到存储器中。单片机中的CPU从储器中取指令代码和数据。其中存储器中每个物理存储单元与其地址是一一对应而且是不可变的,UGG boots。 而ARM比较复杂,ARM芯片与普通单片机在存储器地址方面的不同在于:ARM芯片中有些物理存储单元的地址可以根据设置变换。就是说一个物理存储单元现在对应一个地址,经过设置以后,这个存储单元就对应了另外一个地址了(这就是后面要说的重新映射)。例如将0x00000000地址上的存储单元映射到新的地址0x00000007上。CPU存取0x00000007就是存取0x00000000上的物理存储单元。(随便举的例子为了说明道理,没有实际意义) 存储器重新映射(Memory Re-Map) 存储器重新映射是将复位后用户可见的存储器中部分区域,再次映射到其他的地址上。 存储器重新映射包括两个方面:1、Boot Block重新映射(关于Boot Block的相关内容看我博客中的另一篇文章)。2、异常(中断)向量重新映射 Boot Block重新映射:本来Boot Block在片内Flash的最高8KB,但是为了与将来期间相兼容,生产商为了产品的升级换代,在新型芯片中增加内部Flash容量时,不至于因为位于Flash高端的Boot Block的地址发生了变化而改写其代码,整个Boot Block都要被重新映射到内部存储器空间的顶部,即片内RAM的最高8KB。(地址为: 0x7FFFE000~0x7FFFFFFF) 异常(中断)向量重新映射:本来中断向量表在片内Flash的最低32字节,重新映射时要把这32个字节再加上其后的32个字节(后面这32个字节是存放快速中断IRQ的服务程序的)共64个字节重新映射(地址为:0x00000000~0x0000003F)重新映射到的地方有三个:内部Flash高端的64字节空间、内部RAM低端的64字节空间和外部RAM低端的64字节空间,再加上原来的内部Flash低端的64字节空间,异常向量一共可以在四个地方出现。为了对存储器映射进行控制,处理器设置了存储器映射控制寄存器MEMMAP,其控制格式如下图所示:

微机原理 存储器练习题(优选.)

1、现有EPROM芯片2732(4KX8位),以及3-8译码器74LS138,各种门电路若干,要求在8088CPU上扩展容量为16KX8 EPROM内存,要求采用部分译码, 不使用高位地址线A 19、A 18 、A 15 ,选取其中连续、好用又不冲突的一组地址,要 求首地址为20000H。请回答: 1)2732的芯片地址线、数据线位数是多少?(2分)2)组成16KX8需要2732芯片多少片?(1分) 3)写出各芯片的地址范围。(4分)

1)地址线12根,数据线8根; 2)4片; 3)1# 20000H~20FFFH 2# 21000H~21FFFH 3# 22000H~22FFFH 4# 23000H~23FFFH 2、有一个2732EPROM(4KX8)芯片的译码电路如下图所示,试求: ①计算2732芯片的存储容量; ②给出2732芯片的地址范围; ③是否存在地址重叠区? ① 4KB ②08000H---09FFFH ③存在重叠区08000H---08FFFH 09000H---09FFFH 3、某CPU有地址线16根(A0~A15),数据线8根(D0~D7)及控制信号RD、WR、MERQ(存储器选通)、IORQ(接口选通)。如图所示,利用RAM芯片2114(1KX4)扩展成2KX8的内存,请写出芯片组1和芯片组2的地址范围。

1 G MERQ 11A 12A 13 A 14A 15A & A G 2 B G 21 Y C 13874LS B 0 Y 1#2114 CS 2#2114 CS 3#2114 CS 4#2114 CS 第1组 第2组 WR RD 47~D D 0 9~A A 03~D D A 10 A 答:第1组:C000H~C3FFH 第2组:C400H~C7FFH

第3章习题--存储系统

第3章存储系统 一.判断题 1.计算机的主存是由RAM和ROM两种半导体存储器组成的。 2.CPU可以直接访问主存,而不能直接访问辅存。 3.外(辅)存比主存的存储容量大、存取速度快。 4.动态RAM和静态RAM都是易失性半导体存储器。 5.Cache的功能全部由硬件实现。 6.引入虚拟存储器的目的是为了加快辅存的存取速度。 7.多体交叉存储器主要是为了解决扩充容量的问题。 8.Cache和虚拟存储器的存储管理策略都利用了程序的局部性原理。 9.多级存储体系由Cache、主存和辅存构成。 10.在虚拟存储器中,当程序正在执行时,由编译器完成地址映射。 二.选择题 1.主(内)存用来存放。 A.程序 B.数据 C.微程序 D.程序和数据 2.下列存储器中,速度最慢的是。 A.半导体存储器 B.光盘存储器 C.磁带存储器 D.硬盘存储器 3.某一SRAM芯片,容量为16K×1位,则其地址线有。 A.14根 B.16K根 C.16根 D.32根 4.下列部件(设备)中,存取速度最快的是。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 5.在主存和CPU之间增加Cache的目的是。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 6.计算机的存储器采用分级存储体系的目的是。 A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格与存取速度间的矛盾 7.相联存储器是按进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定与堆栈存取方式结合 8.某SRAM芯片,其容量为1K×8位,加上电源端和接地端后,该芯片的引出线的最少数目应为。 A.23 B.25 C.50 D.20 9.常用的虚拟存储器由两级存储器组成,其中辅存是大容量的磁表面存储器。 A.主存—辅存 B.快存—主存 C.快存—辅存 D.通用寄存器—主存 10.在Cache的地址映射中,若主存中的任意一块均可映射到Cache内的任意一快的位置上,则这种方法称为。 A.全相联映射 B.直接映射 C.组相联映射 D.混合映射 三.填空题

MCS-51单片机存储器结构

MCS-51单片机在物理结构上有四个存储空间: 1、片内程序存储器 2、片外程序存储器 3、片内数据存储器 4、片外数据存储器 但在逻辑上,即从用户的角度上,8051单片机有三个存储空间: 1、片内外统一编址的64K的程序存储器地址空间(MOVC) 2、256B的片内数据存储器的地址空间(MOV) 3、以及64K片外数据存储器的地址空间(MOVX) 在访问三个不同的逻辑空间时,应采用不同形式的指令(具体我们在后面的指令系统学习时将会讲解),以产生不同的存储器空间的选通信号。 程序内存ROM 寻址范围:0000H ~ FFFFH 容量64KB EA = 1,寻址内部ROM;EA = 0,寻址外部ROM 地址长度:16位 作用:存放程序及程序运行时所需的常数。 七个具有特殊含义的单元是: 0000H ——系统复位,PC指向此处; 0003H ——外部中断0入口 000BH —— T0溢出中断入口

0013H ——外中断1入口 001BH —— T1溢出中断入口 0023H ——串口中断入口 002BH —— T2溢出中断入口 内部数据存储器RAM 物理上分为两大区:00H ~ 7FH即128B内RAM 和SFR区。 作用:作数据缓冲器用。 下图是8051单片机存储器的空间结构图 程序存储器 一个微处理器能够聪明地执行某种任务,除了它们强大的硬件外,还需要它们运行的软件,其实微处理器并不聪明,它们只是完全按照人们预先编写的程序而执行之。那么设

计人员编写的程序就存放在微处理器的程序存储器中,俗称只读程序存储器(ROM)。程序相当于给微处理器处理问题的一系列命令。其实程序和数据一样,都是由机器码组成的代码串。只是程序代码则存放于程序存储器中。 MCS-51具有64kB程序存储器寻址空间,它是用于存放用户程序、数据和表格等信息。对于内部无ROM的8031单片机,它的程序存储器必须外接,空间地址为64kB,此时单片机的端必须接地。强制CPU从外部程序存储器读取程序。对于内部有ROM的8051等单片机,正常运行时,则需接高电平,使CPU先从内部的程序存储中读取程序,当PC值超过内部ROM的容量时,才会转向外部的程序存储器读取程序。 当=1时,程序从片内ROM开始执行,当PC值超过片内ROM容量时会自动转向外部ROM空间。 当=0时,程序从外部存储器开始执行,例如前面提到的片内无ROM的8031单片机,在实际应用中就要把8031的引脚接为低电平。 8051片内有4kB的程序存储单元,其地址为0000H—0FFFH,单片机启动复位后,程序计数器的内容为0000H,所以系统将从0000H单元开始执行程序。但在程序存储中有些特殊的单元,这在使用中应加以注意: 其中一组特殊是0000H—0002H单元,系统复位后,PC为0000H,单片机从0000H 单元开始执行程序,如果程序不是从0000H单元开始,则应在这三个单元中存放一条无条件转移指令,让CPU直接去执行用户指定的程序。 另一组特殊单元是0003H—002AH,这40个单元各有用途,它们被均匀地分为五段,它们的定义如下: 0003H—000AH 外部中断0中断地址区。 000BH—0012H 定时/计数器0中断地址区。

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

计算机专业基础综合(存储器系统的层次结构)-试卷1

计算机专业基础综合(存储器系统的层次结构)-试卷1 (总分:72.00,做题时间:90分钟) 一、单项选择题(总题数:24,分数:48.00) 1.单项选择题1-40小题。下列每题给出的四个选项中,只有一个选项是最符合题目要求的。 __________________________________________________________________________________________ 2.下列关于存储系统层次结构的说法中,不正确的是( )。 A.存储层次结构中,离CPU越近的存储器速度越快,价格越贵,容量越小 B.Cache-主存层次设置的目的是为了提高主存的等效访问速度 C.主存一辅存层次设置的目的是为了提高主存的等效存储容量 D.存储系统层次结构对程序员都是透明的√ 此题考查的知识点:存储系统层次结构的基本概念。Cache-主存层次对所有程序员都是透明的。主存一辅存层次只对应用程序员透明,对系统程序员不透明。 3.存储器的存取周期与存储器的存取时间的关系是( )。 A.存取周期大于存取时间√ B.存取周期等于存取时间 C.存取周期小于存取时间 D.存取周期与存取时间关系不确定 此题考查存储器存取周期与存取时间的概念及其关系。存取周期是存储器进行连续地读或写操作允许的最短时间间隔,存取时间是存储器进行一次读或写操作所需的平均时间。 4.以下几种存储器中,存取速度最快的是( )。 A.Cache B.寄存器√ C.内存 D.光盘 存储层次结构中,离CPU越近的存储器速度越快,价格越贵,容量越小。寄存器就是离CPU最近的存储器。 5.属于易失性存储器的是( )。 A.E 2 PROM B.Cache √ C.Flash Memory D.CD-ROM 易失性存储器包括Cache。 6.虚拟存储器理论上的最大容量取决于( )。 A.辅存容量 B.主存容量 C.虚地址长度√ D.实地址长度 虚地址和实地址是虚拟存储器的两个基本概念,虚拟存储器的最大容量取决于虚地址长度,主存储器的最大容量取决于实地址长度。 7.下列存储保护方案中,不是针对“地址越界”访存违例的是( )。 A.界限保护 B.键保护 C.环保护 D.设置访问权限位√ 设置访问权限位是针对“访问越权”访存违例的。 8.下列关于DRAM刷新的说法中,错误的是( )。 A.刷新是指对DRAM中的存储电容重新充电 B.刷新是通过对存储单元进行“读但不输出数据”的操作来实现

存储器结构

第四章存储器结构 4.3 存储器容量扩展 微机系统中主存储器通常由若干存储芯片及相应的存储控制组织而成,并通过存储总线(数据总线、地址总线和控制总线)与CPU及其他部件相联系,以实现数据信息、控制信息的传输。由于存储器芯片的容量有限,实际应用中对存储器的字长和位长都会有扩展的要求。 一、存储器字扩展 *字扩展是沿存储字向扩展,而存储字的 位数不变。 *字扩展时,将多个芯片的所有地址输入 端、数据端、读/写控制线分别并联 在一起,而各自的片选信号线则单独 处理。 *4块内存芯片的空间分配为: 第一片,0000H-3FFFH 第二片,4000H-7FFFH 第三片,8000H-BFFFH 第四片,C000H-FFFFH 二、存储器位扩展 *存储器位扩展是沿存储字的位向扩展, 而存储器的字数与芯片的字数相同。 *位扩展时 将多个芯片的所有地址输入端都连接 在一起; 而数据端则是各自独立与数据总线连 接,每片表示一位 *片选信号线则同时选中多块芯片,这些 被选中的芯片组成了一个完整的存储 字。

三、存储器位字扩展 *存储器需要按位向和字向同时扩展,称存储器位字扩展 *对于容量为 M×N 位的存储器,若使用 L×K 位的存储芯片, 那么,这个存储器所需的芯片数量为:(M/L)×(N/K) 块。 P160图4-3-3表示了一个用2114芯片构成的4KB存储器。如下图: *2114芯片是1K×4R 芯片 *用2块2114芯片构成1组(1K×4×2=1K×8) *再有4组构成4K×8(1K×8×4)位的存储器 *共计需用8块2114芯片 这4个组的选择: *使用A0和A11作地址线:经译码后选择4个分组 *使用A0~A9作为组内的寻址信号 *数据总线为D0~D7 ◆存储器容量的扩展方法总结: 字扩展(将多个芯片的所有地址输入端、数据端、读/写控制线分别都连接在一起,选片信号单独处理) 位扩展(数据线独立处理,选片信号选中多块芯片) 字位扩展(分组,每组又有多个芯片),见(PAGE 161)

计算机组成原理题附答案

计算机组成原理题解指南 第一部分:简答题 第一章计算机系统概论 1.说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 第四章主存储器 1.主存储器的性能指标有哪些?含义是什么? 存储器的性能指标主要是存储容量. 存储时间、存储周期和存储器带宽。 在一个存储器中可以容纳的存储单元总数通常称为该存储器的存储容量。 存取时间又称存储访问时间,是指从启动一次存储器操作到完成该操作所经历的时间。 存储周期是指连续两次独立的存储器操作(如连续两次读操作)所需间隔的最小时间。 存储器带宽是指存储器在单位时间中的数据传输速率。 2.DRAM存储器为什么要刷新?DRAM存储器采用何种方式刷新?有哪几种常用的刷新方式?DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 DRAM采用读出方式进行刷新。因为读出过程中恢复了存储单元的MOS栅极电容电荷,并保持原单元的内容,所以读出过程就是再生过程。 常用的刷新方式由三种:集中式、分散式、异步式。 3.什么是闪速存储器?它有哪些特点? 闪速存储器是高密度、非易失性的读/写半导体存储器。从原理上看,它属于ROM型存储器,但是它又可随机改写信息;从功能上看,它又相当于RAM,所以传统ROM与RAM的定义和划分已失去意义。因而它是一种全新的存储器技术。 闪速存储器的特点:(1)固有的非易失性,(2)廉价的高密度,(3)可直接执行,(4)固态性能。4.请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 第五章指令系统 1.在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长?哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。2.一个较完整的指令系统应包括哪几类指令? 包括:数据传送指令、算术运算指令、逻辑运算指令、程序控制指令、输入输出指令、堆栈指令、字符串指令、特权指令等。 3.什么叫指令?什么叫指令系统? 指令就是要计算机执行某种操作的命令 一台计算机中所有机器指令的集合,称为这台计算机的指令系统。 第六章中央处理部件CPU 1.指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

第3章习题

1 EEPROM是指(D )。 A 读写存储器 B 只读存储器 C 闪速存储器 D 电擦除可编程只读存储器 2 常用的虚拟存储系统由( B )两级存储器组成,其中辅存是大容量的磁表面存储器。 A cache-主存 B 主存-辅存 C cache-辅存 D 通用寄存器 -cache 3 某计算机字长32位,其存储容量为256MB,若按单字编址,它的寻址范围是( D )。 A 64M B B 32MB C 32M D 64M 4 主存贮器和CPU之间增加cache的目的是( A )。 A 解决CPU和主存之间的速度匹配问题 B 扩大主存贮器容量 C 扩大CPU中通用寄存器的数量 D 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量 5 某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线的数目是( D )。512 * 1024 B A 8,512 B 512,8 C 18,8 D 19,8 6 交叉存储器实质上是一种多模块存储器,它用( A )方式执行多个独立的读写操作。 A 流水 B 资源重复 C 顺序 D 资源共享 7某微型计算机系统,其操作系统保存在硬磁盘上,其内存储器应该采用(C ) A RAM B ROM C RAM和ROM D CCD 8 某SRAM芯片,其容量为1M×8位,除电源和接地端外,控制端有E和R/W#,该芯片的管脚引出线数目是( D )。 A 20 B 28 C 30 D 32 9 双端口存储器所以能进行高速读/写操作,是因为采用( D )。 A 高速芯片 B 新型器件 C 流水技术 D 两套相互独立的读写电路 10 存储单元是指(B )。 A 存放1个二进制信息位的存储元 B 存放1个机器字的所有存储元集合 C 存放1个字节的所有存储元集合 D 存放2个字节的所有存储元集合

[考研类试卷]计算机专业基础综合(存储器系统的层次结构)模拟试卷4.doc

[考研类试卷]计算机专业基础综合(存储器系统的层次结构)模拟试卷 4 一、单项选择题 1-40小题,每小题2分,共80分。下列每题给出的四个选项中,只有一个选项是最符合题目要求的。 1 下列关于相联存储器的说法中,错误的是( )。 (A)相联存储器指的是按内容访问的存储器 (B)在实现技术相同的情况下,容量较小的相联存储器速度较快 (C)相联存储器结构简单,价格便宜 (D)在存储单元数目不变的情况下,存储字长变长,相联存储器的访问速度下降 2 下列关于DRAM和SRAM的说法中,错误的是( )。 I.SRAM不是易失性存储器,而DRAM是易失性存储器 Ⅱ.DRAM比SRAM集成度更高,因此读写速度也更快 Ⅲ.主存只能由DRAM构成,而高速缓存只能由SRAM构成 Ⅳ.与SRAM相比,DRAM由于需要刷新,所以功耗较高 (A)Ⅱ、Ⅲ和Ⅳ (B)I、Ⅲ和Ⅳ (C)I、Ⅱ和Ⅲ (D)I、Ⅱ、Ⅲ和Ⅳ 3 某机字长32位,主存容量1 MB,按字编址,块长512 B,Cache共可存放16个块,采用直接映射方式,则Cache地址长度为( )。 (A)11位

(B)13位 (C)18位 (D)20位 4 在Cache和主存构成的两级存储体系中,Cache的存取时间是100 ns,主存的存取时间是1 000 ns。如果希望有效(平均)存取时间不超过Cache存取时间的15%,则Cache的命中率至少应为( )。 (A)90% (B)98% (C)95% (D)99% 5 下列关于Cache写策略的论述中,错误的是( )。 (A)全写法(写直达法)充分保证Cache与主存的一致性 (B)采用全写法时,不需要为Cache行设置“脏位/修改位” (C)写回法(回写法)降低了主存带宽需求(即减少了Cache与主存之间的通信量) (D)多处理器系统通常采用写回法 6 假定用若干个8K×8位的芯片组成一个32K×32位的存储器,则地址41FOH所在芯片的最大地址是 ( )。 (A)0000H (B)4FFFH (C)5FFFH

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电 路 两大类。 四、试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的 状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 10011 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程:10Y Q Q = 状态图:功能:同步三进制计数器 五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D 触发器,用状态000到100构成五进制计数器。 (1)状态转换图

(2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程 20010210 102 11J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程 120 01 1010112210 n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=??????? 输出方程 2Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 01J X Q =⊕01 K =10 J X Q =⊕11 K =

存储器习题解答.

1. 用下列芯片构成存储系统,各需要多少个RAM芯片?需要多少位地址作为片外地址译码?设系统为20位地址线,采用全译码方式。 (1)512×4位RAM构成16KB的存储系统; (2)1024×1位RAM构成128KB的存储系统; (3)2K×4位RAM构成64KB的存储系统; (4)64K×1位RAM构成256KB的存储系统。 解:(1 需要16KB/512×4=64片,片外地址译码需20-log2512=11位地址线。 (2 需要128KB/1K×1=1024片,片外地址译码需20-log21024=10位地址线。 (3 需要64KB/2K×4=64片,片外地址译码需20-log2(1024×2=9位地址线。 (4 需要256KB/64K×1位=32片,片外地址译码需20-log2(1024×64=4位地址线。 2. 现有一种存储芯片容量为512×4位,若要用它组成4KB的存储容量,需多少这样的存储芯片?每块芯片需多少寻址线?而4KB存储系统最少需多少寻址线? 解: 4K×8bit /512×4bit= 16片,需要16片存储芯片; 29 = 512,每片芯片需9条寻址线; 212 = 4096,4KB存储系统最少需12条寻址线。 3. 一个具有8KB直接相联Cache的32位计算机系统,主存容量为32MB,假定该Cache 中块的大小为4个32位字。 (1)求该主存地址中区号、块号和块内地址的位数。 (2)求主存地址为ABCDEF16的单元在Cache中的位置。 解: (1 主存区数为32MB/8KB = 4096,212 = 4096,区号的位数为12; 区内块数为8KB/4×4B = 512,29 = 512,块号的位数为9; 块内单元数(字节编址)为4×32 / 8 = 16,24 = 16,块内地址的位数4。 (2)主存地址为ABCDEF16的单元其二进制地址为: 0 1010 1011 1100 1101 1110 1111 (主存字节地址为25位 区号为0 1010 1011 110 块号为0 1101 1110

相关文档
最新文档