SystemVerilog语言简介

SystemVerilog语言简介
SystemVerilog语言简介

SystemVerilog语言简介

1. 接口(Interface)

Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。

SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称为接口(Interface)。接口在关键字interface和endinterface之间定义,它独立于模块。接口在模块中就像一个单一的端口一样使用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以将PCI 总线的所有信号绑定在一起组成一个接口。通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。下面是一个接口的使用实例:

实际上,SystemVerilog的接口不仅仅可以表示信号的绑定和互连。由于SystemVerilog 的接口中可以包含参数、常量、变量、结构、函数、任务、initial块、always块以及连续赋值语句,所以SystemVerilog的接口还可以包含内建的协议检查以及被使用该接口的模块所

共用的功能。

2. 全局声明和语句

在Verilog中,除了一个模块可以作为模块实例引用其他模块外,并不存在一个全局空间。另外,Verilog允许任意数目的顶层模块,因此会产生毫无关联的层次树。SystemVeriog增加了一个被称为$root的隐含的顶级层次。任何在模块边界之外的声明和语句都存在于$root空间中。所有的模块,无论它处于哪一个设计层次,都可以引用$root中声明的名字。这样,如果某些变量、函数或其它信息被设计中的所有模块共享,那么我们就可以将它们作为全局声明和语句。全局声明和语句的一个使用实例如下:

3. 时间单位和精度

在Verilog中,表示时间的值使用一个数来表示,而不带有任何时间单位。例如:

作为每一个模块的属性,并使用编译器指令`timescale来设置。使用这种方法具有固有的缺陷,因为编译器指令的执行依赖于源代码的编译顺序,编译器总是将它遇到的最后一个`timescale设置的时间单位和精度作为之后的标准。那么,假如有些模块之前没有使用`timescale设置时间单位和精度,这就有可能出现同一个源代码的不同仿真会出现不同结果

的情况。

SystemVerilog为了控制时间单位加入了两个重要的增强。首先,时间值可以显式地指定一个单位。时间单位可以是s、ms、ns、ps或fs。时间单位作为时间值的后缀出现。例如:

其次,SystemVerilog允许使用新的关键字(timeunits和timeprecision)来指定时间单位和精度。这些声明可以在任何模块中指定,同时也可以在$root空间中全局指定。时间单位和精度必须是10的幂,范围可以从s到fs。例如:

4. 抽象数据类型

Verilog提供了面向底层硬件的线网、寄存器和变量数据类型。这些类型代表了4态逻辑值,通常用来在底层上对硬件进行建模和验证。线网数据类型还具有多个强度级别,并且能够为多驱动源的线网提供解析功能。

SystemVerilog包括了C语言的char和int数据类型,它允许在Verilog模型和验证程序中直接使用C和C++代码。Verilog PLI不再需要集成总线功能模型、算法模型和C函数。SystemVerilog还为Verilog加入了几个新的数据类型,以便能够在更抽象的层次上建模硬件。

●char:一个两态的有符号变量,它与C语言中的char数据类型相同,可以

是一个8位整数(ASCII)或short int(Unicode);

●int:一个两态的有符号变量,它与C语言中的int数据类型相似,但被精

确地定义成32位;

●shortint:一个两态的有符号变量,被精确地定义成16位;

●longint:一个两态的有符号变量,它与C语言中的long数据类型相似,但

被精确地定义成64位;

●byte:一个两态的有符号变量,被精确地定义成8位;

●bit:一个两态的可以具有任意向量宽度的无符号数据类型,可以用来替代

Verilog的reg数据类型;

●logic:一个四态的可以具有任意向量宽度的无符号数据类型,可以用来替

代Verilog的线网或reg数据类型,但具有某些限制;

●shortreal:一个两态的单精度浮点变量,与C语言的float类型相同;

●void:表示没有值,可以定义成一个函数的返回值,与C语言中的含义相

同。

SystemVerilog的bit和其他数据类型允许用户使用两态逻辑对设计建模,这种方法对仿真性能更有效率。由于Verilog语言没有两态数据类型,因此许多仿真器都通过将这种功能作为仿真器的一个选项提供。这些选项不能够在所有的仿真器之间移植,而且在需要时用三态或四态逻辑的设计中强制使用两态逻辑还具有副作用。SystemVerilog的bit数据类型能够极大改进仿真器的性能,同时在需要的时候仍然可以使用三态或四态逻辑。通过使用具有确

定行为的数据类型来代替专有的仿真器选项,两态模型能够在所有的SystemVerilog 仿真器间移植。

SystemVerilog 的logic 数据类型比Verilog 的线网和寄存器数据类型更加灵活,它使得在任何抽象层次上建模硬件都更加容易。logic 类型能够以下面的任何一种方法赋值:

● 通过任意数目的过程赋值语句赋值,能够替代Verilog 的reg 类型;

● 通过单一的连续赋值语句赋值,能够有限制地替代Verilog 的wire 类型;

● 连接到一个单一原语的输出,能够有限制地替代Verilog 的wire 类型;

由于logic 数据类型能够被用来替代Verilog 的reg 或wire (具有限制),这就使得能够在一个更高的抽象层次上建模,并且随着设计的不断深入能够加入一些设计细节而不必改变数据类型的声明。logic 数据类型不会表示信号的强度也不具有线逻辑的解析功能,因此logic 数据类型比Verilog 的wire 类型更能有效地仿真和综合。

5. 有符号和无符号限定符

缺省情况下,Verilog net 和reg 数据类型是无符号类型,integer 类型是一个有符号类型。Verilog-2001标准允许使用signed 关键字将无符号类型显式地声明成有符号类型。SystemVerilog 加入了相似的能力,它可以通过unsigned 关键字将有符号数据类型显式地声明成有无符号数据类型。例如:

值得注意的是unsigned 在Verilog 中是一个保留字,但并没有被

Verilog 标准使用。

6. 用户定义的类型

Verilog 不允许用户定义新的数据类型。SystemVerilog 通过使用typedef 提供了一种方法来定义新的数据类型,这一点与C 语言类似。用户定义的类型可以与其它数据类型一样地使用在声明当中。例如:

一个用户定义的数据类型可以在它的定义之前使用,只要它首先在空的typedef 中说明,例如:

7. 枚举类型

在Verilog 语言中不存在枚举类型。标识符必须被显式地声明成一个线网、变量或参数并被赋值。SystemVerilog 允许使用类似于C 的语法产生枚举类型。一个枚举类型具有一组被

命名的值。缺省情况下,值从初始值0开始递增,但是我们可以显式地指定初始值。枚举类型的例子如下:

我们还可以使用typedef为枚举类型指定一个名字,从而允许这个枚举类型可以在许多地方使用。例如:

8. 结构体和联合体

在Verilog语言中不存在结构体或联合体,而结构体或联合体在将几个声明组合在一起的时候非常有用。SystemVerilog增加了结构体和联合体,它们的声明语法类似于C。

结构体或联合体中的域可以通过在变量名和域名字之间插入句点(.)来引用:

我们可以使用typedef为结构体或联合体的定义指定一个名字。

一个结构体可以使用值的级联来完整地赋值,例如:

结构体可以作为一个整体传递到函数或任务,也可以从函数或任务传递过来,也可以作为模块端口进行传递。

9. 数组

在Verilog中可以声明一个数组类型,reg和线网类型还可以具有一个向量宽度。在一个对象名前面声明的尺寸表示向量的宽度,在一个对象名后面声明的尺寸表示数组的深度。例如:

在SystemVerilog中我们使用不同的术语表示数组:使用“压缩数组(packed array)”这一术语表示在对象名前声明尺寸的数组;使用“非压缩数组(unpacked array)”这一术语表示在对象名后面声明尺寸的数组。压缩数组可以由下面的数据类型组成:bit、logic、reg、wire以及其它的线网类型。无论是压缩数组还是非压缩数组都可以声明成多维的尺寸。

非压缩尺寸在压缩尺寸之前引用,这就允许将整个压缩数组作为一个单一的元素进行引用。在上面的例子中,d[1]引用非压缩数组的一个单一元素,这个元素是一个包含4个字节的数组。

10. 在为命名的块中声明

Verilog 允许变量在一个命名的begin-end 或fork-join 语句组中声明。相对于语句组来说,这些变量是本地的,但它们可以被层次化地引用。在SystemVerilog 中,既可以在命名的块中也可以在未命名的块中声明。在未命名的块中,不能够使用层次名来访问变量。所有的变量类型,包括用户定义的类型、枚举类型、结构体和联合体都可以在begin-end 或fork-join 语句组中声明。

11. 常量

在Verilog 中有三种特性类型的常量:parameter 、specparam 和localparam 。而在SystemVerilog 中,允许使用const 关键字声明常量。例如:

12.

可重定义的数据类型

SystemVerilog 扩展了Verilog 的parameter ,使其可以包含类型。这个强大的功能使得一个模块中的数据类型在模块的每一个实例中重新定义。例如:

13. 模块端口连接

在Verilog 中,可以连接到模块端口的数据类型被限制为线网类型以及变量类型中的reg 、integer 和time 。而在SystemVerilog 中则去除了这种限制,任何数据类型都可以通过端口传递,包括实数、数组和结构体。

14. 字母值

在Verilog 中,当指定或赋值字母值的时候存在一些限制。而SystemVerilog 则为字母值如何指定作了下面的增强:

● 一个字母值的所有位均可以使用`0、`1、`z 或`x 作相同的填充。这就允许填充一

个任意宽度的向量,而无需显式地指定向量的宽度,例如:

● 一个字符串可以赋值成一个字符数组,象C 语言一样加入一个空结束符。如果尺

寸不同,它象C

中一样进行左调整,例如:

加入了几个特殊的串字符:

v :垂直TAB

f :换页

a :响铃

x02:用十六进制数来表示一个ASCII 字符

● 数组可以使用类似于C 初始化的语法赋值成字符值,但它还允许复制操作符。括

号的嵌套必须精确地匹配数组的维数(这一点与C 不同),例如:

15. 强制类型转换

Verilog 不能将一个值强制转换成不同的数据类型。SystemVerilog 通过使用'操作符提供了数据类型的强制转换功能。这种强制转换可以转换成任意类型,包括用户定义的类型。例如:

一个值还可以通过在强制转换操作符前指定一个10进制数来转换成不同的向量宽度,例如:

也可以将结果转换成有符号值,例如:

16. 操作符

Verilog 没有

C 语言的递增(++)和递减(--)操作符。而SystemVerilog 加入了几个新的操作符:

● ++和--:递增和递减操作符;

● +=、-=、*=、/=、%=、&=、^=、|=、<<=、>>=、<<<=和>>>=赋值操作符;

17. 唯一性和优先级决定语句

在Verilog 中,如果没有遵循严格的编码风格,它的if-else 和case 语句会在RTL 仿真和RTL 综合间具有不一致的结果。如果没有正确使用full_case 和parallel_case 综合指令还会引起一些其它的错误。

SystemVerilog 能够显式地指明什么时候一条决定语句的分支是唯一的,或者什么时候需要计算优先级。我们可以在if 或case 关键字之前使用unique 或requires 关键字。这些关键字可以向仿真器、综合编译器、以及其它工具指示我们期望的硬件类型。工具使用这些信息来检查if 或case 语句是否正确建模了期望的逻辑。例如,如果使用unique 限定了一个决定语句,那么在不希望的case 值出现的时候仿真器就能够发布一个警告信息。

18. 底部检测的循环

Verilog包含for、while和repeat循环,这几个循环都是在循环的起始处检测循环条件。SystemVerilog加入了一个do-while循环,这种循环在执行语句的结尾处检测循环条件。19. 跳转语句

在语句的执行过程中,C语言提供了几种方式来跳转到新的语句,包括:return、break、continue和goto。在Verilog中除了通过使用disable语句跳转到语句组的尾部外,没有提供任何其它跳转语句。使用disable语句执行中止和继续功能要求加入块的名字,并且会产生不直观的代码。SystemVerilog加入了C语言的break和continue关键字,这两个关键字不要求使用块名字。另外,SystemVerilog还加入了一个return关键字,它可以用来在任何执行点上退出一个任务或函数。

●break:退出一个循环,与C语言相同;

●continue:跳转到一个循环的尾部,与C语言相同;

●return 表达式:退出一个函数;

●return:退出一个任务或void类型的函数。

SystemVerilog没有包含C语言中的goto语句。

20. 块名字和语句标签

在Verilog中,我们可以通过在begin或fork关键字之后指定名字来为begin-end或fork-jion语句指定名字。这个指定的名字代表整个语句块。SystemVerilog还允许在end或jion 关键字之后指定一个匹配的块名字。这种机制很容易将end或jion与对应的begin或fork 联系起来,尤其是在一个长的块或嵌套的块中。块结尾处的名字是可选的,但如果使用的话,它必须与块起始处的名字相同。例如:

SystemVerilog还允许像C语言一样为单个语句设置标签。语句标签放置在语句的前面,用来标识这条语句。例如:

21. 对事件控制的增强

Verilog使用@标记来控制基于特定事件的执行流,SystemVerilog增强了@事件控制。

●有条件的事件控制

@标记的一个基本应用就是推断一个具有使能输入的锁存器。下面的例子演示了一个锁存器建模的基本风格。

这种编码风格对仿真来说是效率低下的,因为即使在使能输入无效的时候,数据输入的每次改变都会触发事件控制。

SystemVerilog在事件控制中加入了一个iff条件。只有iff条件为真的条件下,事件控制才会被触发。通过将使能判断移入到事件控制里面,使得只有在锁存器输出能够改变的时候事件控制才会被触发。例如:

●事件控制中的表达式

Verilog允许在@事件控制列表中使用表达式,例如:

在第一个例子中,是当操作数发生改变的时候还是只有当运算结果发生改变的时候才会触发事件控制?在第二个例子中,是当memory的地址发生变化的时候还是只有当memory 的值发生变化的时候才会触发事件控制?当@事件控制中包含表达式的时候,IEEE Verilog标准允许仿真器进行不同的优化。这就可能导致在不同的仿真器间有不同的仿真结果,可能还会导致仿真与综合之间的结果不一致。SystemVerilog加入了一个changed关键字,在事件控制列表中它被用作一个修饰符。@(changed (表达式))能够显式地定义只有当表达式的结果发生改变的时候才会触发事件控制。例如:

●事件控制中的赋值

Verilog不允许在事件控制中使用赋值。SystemVerilog允许在事件控制中使用赋值表达式。事件控制仅仅敏感于赋值表达式右侧的变化。例如:

22. 新的过程

Verilog 使用always 过程来表示时序逻辑、组合逻辑和锁存逻辑的RTL 模型。综合工具和其它软件工具必须根据过程起始处的事件控制列表以及过程内的语句来推断always 过程的意图。这种推断会导致仿真结果和综合结果之间的不一致。SystemVerilog 增加了三个新的过程来显式地指示逻辑的意图。

● always_ff :表示时序逻辑的过程;

● always_comb :表示组合逻辑的过程;

● always_latch :表示锁存逻辑的过程。

例如:

软件工具能够检查事件控制敏感列表和过程的内容来保证逻辑的功能匹配过程的类型。例如,工具能够检查一个always_comb

过程能够敏感过程内读取的所有外部值,对逻辑的每一个分支的相同变量进行赋值,并且检查分支是否覆盖了所有可能的条件。如果任何一个条件没有满足,软件工具均会报告该过程没有正确建模组合逻辑。

23. 动态过程

Verilog 通过使用fork-jion 提供了一种静态的并发过程。每一个分支都是一个分离的、并行的过程。fork-jion 中任何语句的执行必须在组内的每一个过程完成后才会执行。例如:

SystemVerilog 通过process 关键字加入了一个新的、动态的过程。它为一个过程产生分支,然后继续执行而无需等待其他过程完成。过程不会阻塞过程或任务内的语句执行。这种方式能够建模多线程的过程。例如:

24. 任务和函数增强

SystemVerilog为Verilog的任务和函数作了几个增强。

●静态和自动的存储

缺省情况下,在Verilog任务或函数内的所有存储都是静态的。Verilog-2001允许将任务和函数声明成自动的。在SystemVerilog中:(1). 在一个静态任务和函数内的特定数据可以显式地声明成自动的。声明成自动的数据在块中具有完整的生命周期,并且在任务和函数调用的入口处初始化;(2). 在一个自动的任务或函数中的特定数据可以显式地声明成静态的。自动的任务或函数中声明成静态的数据在一个块的本地范围内具有静态的生命周期。

●从任何点返回

Verilog在一个任务或函数中执行到endtask或endfunction关键字的时候返回。函数的返回值是给函数名赋的最后一个值。SystemVerilog加入了一个return关键字,使用这个关键字,一个任务或函数可以在任何点上返回。

●多语句

Verilog要求一个任务或函数只具有一个语句或语句块。多条语句必须组合到一个单一的begin-end或fork-jion块中。SystemVerilog去除了这种限制。因此,多条语句可以在一个任务或函数中列出而无需使用的begin-end或fork-jion。每有分组的语句就像在begin-end中一样顺序执行。我们还可以产生一个没有语句的任务或函数定义。

●void函数

Verilog要求一个函数具有一个返回值,函数的调用接收这个返回值。SystemVerilog加入了一个void数据类型,这个数据类型可以作为一个函数的返回值类型。void函数可以像Verilog任务一样进行调用,而无需接收一个返回值。void函数和任务的差别在于函数存在几个限制,例如没有时间控制等。

●函数的输入和输出

Verilog标准要求一个函数至少具有一个输入并且函数只能具有输入。SystemVerilog去除了这些限制。函数可以具有任意数目的输入、输出以及输入输出,也可以什么也没有。

25. 连续赋值的增强

在Verilog中,连续赋值语句的左侧只能是线网类型,例如wire。连续赋值语句被认为是线网的驱动源,而线网可以拥有任意数据的驱动源。SystemVerilog允许除reg类型以外的任何数据类型用于连续赋值语句的左侧。与线网不同,所有其它数据类型被限制为只能有一个连续赋值语句驱动。为相同的变量混合使用连续赋值语句和过程赋值语句是不被允许的。

26. $bit系统函数

在Verilog中没有类似于C语言中sizeof的函数。SystemVerilog加入一个新的$bit内建函数。这个函数返回保存一个值所需的硬件位的数目(一个四态值要求一个硬件位),这个函数还可以用来确定一个结构体所代表的硬件位的数目。

27. `define的增强

SystemVerilog增强了`define编译器指令的能力以便支持将字符串作为宏的参数。宏的文本字符串中可以包含一个隔离的引号,它的前面必须具有一个反勾号(`”),这就允许字

符串中包含宏参数。宏文本可以在行的尾部包含一个反斜杠('')来表示在下一行继续。如果宏文本字符串中包含反斜杠,则反斜杠应该被放在两个反勾号之间,这样它就不会被认为是Verilog转义标识符的开始。宏文本字符串还可以包含双反勾号(``),它允许标识符能够从参数中构建。这些增强使得`define指令更加灵活。例如:`include指令后可以紧跟一个宏名字来替代一个字符串。

28. 状态机建模

SystemVerilog允许在更高的抽象层次上对状态机建模。这些结构包括:

●枚举类型

●一个特殊的state数据类型;

●一个迁移语句

●一个迁移操作符

29. 断言

SystemVerilog中加入了断言的功能来改善系统的验证过程。

30. 结论

SystemVerilog为Verilog-2001标准提供了一系列的扩展。这些扩展使得大型设计的建模和验证更加容易。

中国语言学家简介

中国著名的语言学家简介 岑麒祥主要研究方向为普通语言学和语言学史 《语言学史概要》是其最重要、影响最大的一部著作,也是我国第一部语言学史著作 陈望道中国著名教育家、修辞学家、语言学家,中国现代修辞学研究的开拓者和奠基人《修辞学发凡》第一部有系统的兼顾古话文今话文的修辞学著作 丁声树中国杰出的语言学家,词典编纂专家 编写《现代汉语词典》,《现代汉语语法讲话》 符淮青汉语词汇学、语义学、词典学研究 《现代汉语词汇学》 高名凯著名语言学家 与石安石主编的《语言学概论》观点平妥,简明通俗,至今仍被许多高等学院用为教材。 桂诗春著名语言学家和外语教育家,心理语言学、应用语言学、语言测试、统计语言学等 郭锡良主要著作有:《古代汉语》(三种)、《汉字古音手册》、《汉字知识》等著作10种。音韵方面也第一次提出了殷商音系的设想,为汉语语音史填补了一项空白。 何九盈中国古汉语、汉语音韵学专家 《中国现代语言学史》 胡明扬胡明扬的学术活动主要有两个方面:一是对现代汉语语法、汉语方言并结合汉语实际对语言理论的研究;一是向国内介绍国外语言学的理论和方法 《北京话初探》、《北京话的语气助词和叹词》 胡裕树汉语语法理论、现代汉语教学、语言文字辞书编纂 《现代汉语》、《今日汉语》、《汉语语法研究》 胡壮麟国外语言学、功能语言学、语用学、语篇分析、英语教学法、符号学、认知与隐喻《语言学教程》 黄伯荣现代汉语和汉语方言的研究,现代汉语的语音、文字、词汇、语法及汉语方言等方面《汉语方言语法类编》 蒋礼鸿著名语言学家、敦煌学家、辞书学家 《敦煌变文字义通释》、《义府续貂》 金兆梓著名语言学家、文史学家 《国文法之研究》 黎锦熙《新著国语文法》第一次科学地、系统地揭示了我国白话文内在的语言规律,是我国第一部完整的、具有自己独特体系的、将传统语法体系应用于现代汉语的专门著作。 李方桂李方桂的学术成就主要有以下三个方面:印第安语言的研究、侗台(壮侗)语族语言的研究、汉语和藏语的研究 参与翻译《中国音韵学硏究》(ps:《中国音韵学研究》是高本汉构拟中古音的代表作,陆续发 表于1915-1926间,中译本是由赵元任、李方桂、罗常培共同翻译的,在翻译中三位学者加了 注释和补订。该书影响极大,标志着中国现代音韵学史的开端) 李荣音韵学家、方言学家 《切韵音系》、《汉语方言调查手册》、创办了《方言》杂志 李行健主持完成国家规范标准项目《第一批异形词整理表》《现代汉语常用词表》 廖序东方言学、教学语法研究、楚辞研究 《现代汉语》、《汉语语言学书目答问》、《楚辞语法研究》 林焘汉语音韵学、现代语音学、汉语方言学、对外汉语教学等领域 《语音学教程》、《北京话的连读音变》、《北京语音实验录》

西方语言学流派之历史比较语言学

历史比较语言学从前又称比较语法,通过语言亲属关系的比较研究语言的发展规律,拟测它们的共同母语。历史比较语言学是在19世纪逐步发展和完善的,主要是印欧语系的历史比较。19世纪之前,这种研究不是没有,但都是孤立的分散的研究,到19世纪才进入系统的研究,并使语言学走上独立发展的道路。 历史比较语言学的产生有两个不可或缺的条件,一是广泛收集世界各种语言材料,二是认识到梵语在语言比较中的地位和作用。 19世纪历史比较语言学在理论和方法上的发展大致可以分为三个阶段。在初始阶段,丹麦的拉斯克(R·Rask)、德国的格里姆(J·Grimm)和葆扑(F·Bopp)被称为历史比较语言学的奠基者。拉斯克在他的《古代北欧语或冰岛语起源研究》一书中第一个对基本语汇中的词进行系统的比较,找出其中的语音对应规律,由此确定语言的亲缘关系。格里姆在拉斯克一书的启发下,在他的《日耳曼语语法》里确定了希腊语、峨特语和高地德语之间的语音对应关系,即所谓的"格里姆定律"(Grimm's Law)。格里姆明确指出,语音对应规律是建立印欧语系和其他语系的基础。维尔纳(K·Verner)后来补充解释清楚了"格里姆定律"难以解释的一组例外,世称"维尔纳定律",这就使音变规律的研究日臻完善,历史比较语言学的发展也就有了扎实的理论基础。葆朴的主要著作是《梵语、禅德语、亚美尼亚语、希腊语、拉丁语、立陶宛语、古斯拉夫语、峨特语和德语比较语法》,旨在把梵语和欧洲、亚洲的几种其他语言相比较,找出它们在形态上的共同来源。远离欧洲的梵语在这些语言中找到了它应有的位置:它既不是拉丁语、希腊语和其

他欧洲语言的母语,也不是由其他语言演变而来,它和其他语言都出于一种共同的原始语言,只不过它比其他语言保存更多的原始形式。 19世纪中期,历史比较语言学发展到第二阶段,最有代表性的人物是德国的施莱歇尔(August Schleicher),其代表作是《印度日耳曼语系语言比较语法纲要》。初期的比较语言学者已经认识到历史上有亲属关系的语言的共同原始母语是一种不再存在的的语言,到了中期施莱歇尔则开始具体"构拟"这种原始母语的形式,并用星号*来标示构拟的形式。他受到生物学物种分类的启发,为有亲属关系的语言的历史演变过程设计了一种树形谱系图,使语言之间的亲属关系以直观的形式呈现在人们的面前。这是历史比较语言学的一大进展。这一理论的弱点是只考虑语言的分化,而没有考虑语言的统一和语言之间的相互影响,后来他的学生施密特(J·Schmidt)用"波浪说"对此作了修正。 19世纪的最后25年是历史比较语言学的"新语法学派"时期。这个学派的代表人物是奥斯特霍夫(H·Osthoff)和布鲁克曼(K·Brugmann),他们在自己创办的刊物《形态学研究》上正式宣布:语音演变规律不允许任何例外。前面提到的"维尔纳定律"也是这一时期的一项重要研究成果。他们在坚持这个原则时,以语言材料为依据,借鉴生理学和心理学的研究成果,强调"类推"在语言演变中的作用。这个学派的代表著作有布鲁克曼和德尔布吕克合著的《印度日耳曼语比较语法纲要》和保罗的《语言史原理》。 19世纪历史比较语言学家为语言学的发展做出了重要贡献。他们收集了丰富

SystemVerilog

SystemVerilog 语言简介 SystemVerilog 是一种硬件描述和验证语言(HDVL),它基于 IEEE 1364-2001 Verilog 硬件描述语言 (HDL) 并对其进行了扩展, , 包括扩充了 C 语言数据类型、结构、压缩和非压缩数组、 接口、断 言等等, 这些都使得 SystemVerilog 在一个更高的抽象层次上提高了 设计建模的能力。SystemVerilog 由 Accellera 开发,它主要定位在 芯片的实现和验证流程上, 并为系统级的设计流程提供了强大的连接 能力。 下面我们从几个方面对 SystemVerilog 所作的增强进行简要的 介绍, 期望能够通过这个介绍使大家对 SystemVerilog 有一个概括性 的了解。 1. 接口(Interface) Verilog 模块之间的连接是通过模块端口进行的。为了给组成设 计的各个模块定义端口, 我们必须对期望的硬件设计有一个详细的认 识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一 旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个 设计中的许多模块往往具有相同的端口定义,在 Verilog 中,我们必 须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。 SystemVerilog 提供了一个新的、高层抽象的模块连接,这个连 接被称为接口(Interface)。接口在关键字 interface 和 endinterface 之间定义,它独立于模块。接口在模块中就像一个单一的端口一样使 用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以 将 PCI 总线的所有信号绑定在一起组成一个接口。通过使用接口, 我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。 随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信 号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用 该接口的所有模块中反映出来,而无需更改每一个模块。 下面是一个 接口的使用实例: interface chip_bus; // 定义接口 wire read_request, read_grant; wire [7:0] address, data; endinterface: chip_bus
更多免费资料下载请进: https://www.360docs.net/doc/f516789403.html, 中国最大的免费课件资料库

语言学概论课程简介

《语言学概论》课程简介 课程名称:《语言学概论》 英文名称:Linguistics Outline 学分: 3 总学时:54学时。 先修课程:现代汉语。 内容介绍:《语言学概论》是语言理论基础课,它从理论上探讨人类语言的本质、结构规律和发展规律以及语言与文字的关系等方面问题,属于普通语言学的范围。它跟属于个别语言学范围的现代汉语、古代汉语课程不同。 适用专业及层次:中文系及其他各系本科生。 教学方式:多媒体电子讲稿和板书相结合的启发式讲解。作业次数:10次。 考核方式:闭卷考试。 选用教材:《语言学纲要》叶蜚声、徐通锵著北京大学出版社1997年第三版。 参考书目:[1]岑麒祥.语言学史概要[M] .北京:北京大学出版社,1998. [2]徐志民.欧美语言学简史[M] .上海:学林出版社,1990. [3]刘润清.西方语言学流派[M] .北京:外语教学与研究出版社,1995.

[4]索绪尔著.高名凯译.普通语言学教程[M] .北京:商务印书馆,1999. [5]高名凯.语言论[M] .北京:商务印书馆,1995. [6]布龙菲尔德著.袁家骅等译.语言论[M] .北京:商务印书馆,1997. [7]徐通锵胡吉成主编.《语言学纲要》学习指导书 [M] .北京:北京大学出版社,2001. [8]高名凯石安石主编.语言学概论[M] .北京:中华书局1963 [9]刘伶黄智显陈秀珠主编.语言学概要[M] .北京:北京师范大学出版社,1984. [10]徐通锵.基础语言学教程[M] .北京:北京大学出版社,2001. [11]王德春.语言学概论[M] .上海:上海外语教育出版社,1997. [12]伍铁平主编.普通语言学概要[M] .北京:高等教育出版社,1993. [13]戚雨村主编.语言学引论[M] .上海:上海外语教育出版社,1985. [14]彭泽润李葆嘉主编.语言理论[M] .长沙:中南大学出版社,2003.

system verilog教程

SystemVerilog Tutorials 下面的手册会帮助你了解一些SystemVerilog中最重要的新特点。手册还提供了一些代码样本和例子使你可以对语言有更好"感觉"。这些辅导假设你们已经了解了一些Verilog语言。如果没有,你可以先去看看Verilog设计者指南(V erilog Designer’s Guide)。 * Data types * RTL design * Interfaces * Clocking * Assertion-based verification * Classes * Testbench automation and constraints * The Direct Programming Interface (DPI) SystemVerilog 的数据类型 这个手册将描述Systemverilog新引进的数据类型。他们大多数都是可以综合的,并且可以使RTL级描述更易于理解和书写。 整型和实型 SystemVerilog引进了几种新的数据类型。C语言程序员会熟悉其中的大多数。引进新的数据类型构思是这样的,如果C语言和SystemVerilog有相同的数据类型可以使C语言算法模型更容易的转化为SystemVerilog模型。 Verilog的变量类型有四态:既是0,1,X,Z。SystemVerilog引进了新的两态数据类型,每一位只可以是0或是1。当你不需要使用的X和Z值时,譬如在写Testbench和做为for语句的循环变量。使用两态变量的RTL级模型,可以使模拟器更有效率。并且使用得当的话将不会对综合结果产生影响。 二态整型 类型描述例子 Bit user-defined size bit [3:0] a_nibble; Byte 8 bits, unsigned byte a, b; Shortint 16 bits, signed shortint c, d; Int 32 bits, signed int i,j; Longint 64 bits, signed longint lword;

SystemVerilog语言简介(doc 26页)

SystemVerilog语言简介(doc 26页)

SystemVerilog语言简介 SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。SystemVerilog由Accellera 开发,它主要定位在芯片的实现和验证流程上,并为系统级的设计流程提供了强大的连接能力。下面我们从几个方面对SystemV erilog所作的增强进行简要的介绍,期望能够通过这个介绍使大家对SystemVerilog有一个概括性的了解。 1. 接口(Interface) Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。 SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称为接口(Interface)。接口在关键字interface和e ndinterface之间定义,它独立于模块。接口在模块中就像一个

单一的端口一样使用。在最简单的形式下,一个接口可以认为是一组线网。例如,可以将PCI总线的所有信号绑定在一起组成一个接口。通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。下面是一个接口的使用实例:

Systemverilog的一个牛人总结

转一篇Systemverilog的一个牛人总结 (2012-12-12 16:47:06) 转载▼ 标签: 分类:Dreamywork systemverilog 验证 面向对象 杂谈 Systemverilog 数据类型 l 合并数组和非合并数组 1)合并数组: 存储方式是连续的,中间没有闲置空间。 例如,32bit的寄存器,可以看成是4个8bit的数据,或者也可以看成是1个32bit的数据。 表示方法: 数组大小和位,必须在变量名前指定,数组大小必须是【msb:lsb】 Bit[3:0] [7:0] bytes ; 2)二维数组和合并数组识别: 合并数组: bit [3:0] [7:0] arrys; 大小在变量名前面放得,且降序 二维数组: int arrays[0:7] [0:3] ; 大小在变量名后面放得,可降序可升序 位宽在变量名前面,用于识别合并和非合并数组,位宽在后面,用于识别数组中元素个数。 3)非合并数组 一般仿真器存放数组元素时使用32bit的字边界,byte、shortint、int都放在一个字中。 非合并数组:字的地位存放变量,高位不用。 表示方法: Bit [7:0] bytes; 4)合并数组和非合并数组的选择 (1)当需要以字节或字为单位对存储单元操作。 (2)当需要等待数组中变化的,则必须使用合并数组。例如测试平台需要通过存储器数据的变化来唤醒,需要用到@,@只能用于标量或者合并数组。

Bit[3:0] [7:0] barray[3] ; 表示合并数组,合并数组中有3个元素,每个元素时8bit,4个元素可以组成合并数组 可以使用barry[0]作敏感信号。 l 动态数组 随机事物不确定大小。 使用方法:数组在开始是空的,同时使用new[]来分配空间,在new[n]指定元素的个数。 Int dyn[]; Dyn = new[5]; //分配5个元素空间 Dyn.delete() ; //释放空间 l 队列 在队列中增加或删除元素比较方便。 l 关联数组 当你需要建立一个超大容量的数组。关联数组,存放稀疏矩阵中的值。 表示方法: 采用在方括号中放置数据类型的形式声明: Bit[63:0] assoc[bit[63:0]]; l 常量: 1)Verilog 推荐使用文本宏。 好处:全局作用范围,且可以用于位段或类型定义 缺点:当需要局部常量时,可能引起冲突。 2)Parameter 作用范围仅限于单个module 3)Systemverilog: 参数可以在多个模块里共同使用,可以用typedef 代替单调乏味的宏。 过程语句 l 可以在for循环中定义变量,作用范围仅在循环内部 for(int i=0;i<10;i++) array[i] =i; l 任务、函数及void函数 1)区别:

语言学流派

西方语言学流派漫谈 Schools of Linguistics: some random thoughts 北京外国语大学中国外语教育研究中心刘润清 为何要讲流派问题? 我主张,进入一个研究领域时,第一要宏观,第二要对思想(ideas) 感兴趣。 宏观:做研究,别一下扎到一个小领域、小题目里去,那样会见木不见林。 了解宏观背景,让你不失迷方向。 对思想、哲学理念感兴趣,比只对纯技术性的东西感兴趣,更有意义。技术是手段,提高认识是追求。 1. 区分流派与分支 1.1 流派多指思潮, 有代表人物, 代表著作, 主要观点, 研究方法, 盛行时代,有其影响, 往往有历史视角。(它未必对领域中的诸多问题都有论述。) Schools refer to trends of thought, with their representative figures, works, unique ideas, methods and influence on later development; a diachronic perspective. 1.2 分支多指领域, 研究范围, 也有经典著作, 有影响的人物, 多用共时观点。可能多个流派都对它有过贡献。 (Branches refer to areas of study, with their classics, and influential figures; often a synchronic perspective.) 1.3 历史视角和共时视角一起可以画出一个十字, 像一个坐标. The diachronic and synchronic perspectives will form a cross, which like a coordinate. Distinction between Schools and Branches 1.2 语言学的分支: Branches: 从内部分:语音学, 音系(位)学, 词汇学, 形态学, 句法学, 语义学, 语用学(?) Distinction from within: phonetics, phonology, lexicology, morphology, syntax, semantic, pragmatics (?) 从外部分: 心理语言学, 社会语言学, 计算语言学, 神经语言学, 文化语言学, 人类语言学,语料库语言学等。 Distinction from without: psycholinguistics, sociolinguistics, computation linguistics, neurolinguistics, anthropological linguistics, cultural linguistics, corpus linguistics, etc. 大分类: 理论语言学, 应用语言学Theoretical linguistics and applied linguistics. 1.3 语言学流派: 传统语法(600BC—18世纪)traditional grammar; 历史语言学(19世纪100年) historical linguistics; 现代语言学开端(索緖尔, 20世纪初) the beginning of modern linguistics; 欧洲功能主义(20世纪20-50)European functionalism 伦敦学派(1940—50)The London School; 系统功能语法(1960—现在) Halliday’s Systemic-functional grammar 美国结构主义语言学(1930—50) American structuralism 美国转换生成语法(1957—现) Chomsky’s Generative Grammar 认知语言学(today)Cognitive linguistics

普通语言学课程简介

北京外国语大学中国外语教育研究中心 普通语言学 授课对象:全校硕士生 授课语言:汉语 授课时间:2010年春季学期周三下午16:00-18:00 授课地点:逸夫楼第三阶梯教室 课程组织:陈国华 课程秘书:谢娟(电话88816824) 课程内容与安排 课程参考书 George Yule (1996/2000). The Study of Language (语言研究). Beijing: Foreign Language Teaching and Research Press/Cambridge University Press. 考核方式 (1)每一次讲课最后留10分钟做一小测验,共15次,每次5分,共75分。 测试成绩占总成绩的50%。 (2)每人提交一篇课程论文,题目须选自每一讲所给的思考题,长度为5000 字左右,格式遵照《中国外语教育研究中心论文格式手册》。提交日期 最迟不晚于第17周周五下午5:00,提交地点是中国外语教育研究中心 学生作业信箱。 论文成绩占总成绩的50%。

课程简介 1 什么是语言和语言学? 首先介绍语言的定义。‘语言’一词有多个用法,如,指讲话行为;指某人的语言;指某种文体的语言;指某国的语言;泛指人类语言。由于对语言的认识还不很全面,下一个大家都能接受的定义很难。到目前为止,能被许多人接受的定义是:‘语言是用于人类交际的一种任意的声音符号系统。’区别人类语言与动物的呼叫系统时,常用语言的设计特征。如:语言是人类所特有的;语言即来自物种本能,也来自后天教养;语言具有任意性;语言有创造性;语言有位移性;语言有双重性;语言有系统性。 然后介绍什么是语言学。定义:语言学是对语言的科学研究。科学性有5条原则:系统性;客观性;前后一致性;语料充分性;简单明了等。语言学中的几个重要区分:描写性与规定性;共时研究与历时研究;语言与言语;语言能力与语言运用;形式与功能;语言的社会性与心理因素;语言的可变性与不变性。语言学有许多分支,其实就是研究语言的不同层次或语言与其他学科的关系。语言学还有许多流派,就是用不同的理论观点去观察语言。 推荐参考书 王德春(2001),《语言学概论》。上海:上海外语教育出版社。 思考题: (1) 讨论4条语言的设计特征,要用具体例子说明。 (2) 讨论4条语言学中的科学性原则,要用例子说明。 2 语言的起源与演化 语言起源部分从介绍关于语言起源的各种传说(包括《圣经·创世纪》里的相关传说)、猜测和理论(包括“汪汪理论”)入手,进而综述近30年来对语言起源的科学考察,包括古人类学、考古学、遗传学、人体解剖学的相关研究,论证语言的起源与人类起源的同步性。 语言演化部分介绍语言谱系的发现和语言的进化,包括语言的演变和语法化。语言的演变从语言的区域变异、社会变异和历时变异这三方面加以介绍。 推荐参考书 Jean Aitchison (2000/2002). The Seeds of Speech: Language Origin and Evolution(言语的萌发:语言起源与进化). Cambridge: Cambridge University Press/Beijing: Foreign Language Teaching and Research Press/ 思考题 (1) “语言符号的任意性”理论与语言起源是否相容?“汪汪理论”和“语言的图标性(iconicity,又称“相似性”)”能在多大程度上解释语言的起源? (2) 语言演变的动因是什么?有什么规律可循? (3) 什么是语法化?语法化是否有方向性? 3 语言、文化与认知 本讲从介绍萨皮尔-沃尔夫假说入手,侧重探索语言与民族文化和认知的关系,涉及民族学、语言类型学和跨文化交际。本讲以词汇和语法方面的实例论证语言发展的根本驱动力是人类和各民族文化的发展,语言是人类认识世界的最重要的工具,所以各语言有许多共同

system verilog 类的继承

类的继承 SystemVerilog支持单继承(类似Java,而不像C++). 有一个让SystemVerilog支持多重继承的提案[1], 但是短期内不会看到曙光。 目录 ? 1 什么是继承? ? 2 有什么好处 ? 3 开-关定律 ? 4 参考资料 什么是继承? 继承是面向对象编程范式的关键概念。类用来创建用户自定义类型. 继承使得用户可以用非常安全,非侵入的方式对类的行为进行增加或者修改。 使用继承可以定义子类型,在子类型中增加新的方法和数据。被继承的类一般称为基类(SystemVerilog中的超类),得到的新类一般称为引申类(或子类)。 为什么继承如此重要? 因为它使得复用得以实现。让我们通过实例来说明. 假设我们对一个图像模块进行建模. 对其中一部分,我们写了一个代表颜色的类: class Color; byte unsigned red; byte unsigned green; byte unsigned blue; function new(byte unsigned red_=255, byte unsigned green_=255, byte unsigned blue_=255); red=red_; green=green_; blue=blue_; endfunction:new function mix(Color other); function brighter(float percent); task draw_pixel(int x,int y);

Now现在它的下一个版本希望能够处理部分透明的图像。为此,我们给Color类增加了一个alpha成员,。alpha代表图像的透明度。alpha越大,图像的像素越结实(不透明)。'0'代表完全透明,使得图片的背景全部可见。因此,我们修改color类如下: class Color; byte unsigned red; byte unsigned green; byte unsigned blue; byte unsigned alpha; function new(byte unsigned red_=255, byte unsigned green_=255, byte unsigned blue_=255, byte unsigned alpha_=255); red=red_; green=green_; blue=blue_; alpha=alpha_; endfunction:new function mix(Color other);// new implementation -- would depend on // alpha values for both the colors function brighter(float percent);// original implementation good enough task draw_pixel(int x,int y);// new implementation // Other functions ... endclass:Color 注意,即使许多代码是由之前版本的Color类复制而来,我们还是需要单独维护两个版本的代码。这时继承就可以发挥作用,使用继承,我们可以简单的从原始的Color类继承出新类,来添加alpha成员。 class ColorWithAlpha extends Color; byte unsigned alpha; function new(byte unsigned red_=255, byte unsigned green_=255, byte unsigned blue_=255, byte unsigned alpha_=255);

西方语言学流派考试要点

西方语言学流派考试要点 第一部分(60分) 1 写出10本语言学著作名称(中英文皆可)。 2课本第2—6章节中某一章节目录。 3将试卷上给出的语言学家进行归类,划分到他们各自所属的流派。 4 依据第3—6章节(一共四章)内容,任选两章内容写两篇综述(4选2)〈建议:综述是对课本内容进行归纳整理,用自己的语言组织行文,字数不限;大家可提前准备,考试时只需凭记忆誊写〉 第二部分(40分) 1 材料分析题依据题目给出的材料回答问题。(此题有3小题,共15分,会考查大家的科研能力) 2 综合运用题。根据题目给出的语言现象,谈自己的看法。要求有理有据。(25分) 注: 1因饶琪老师明天需要开会,明天上午3—4节课取消,考试要 点已通过电话传达,具体内容整理如上。 2 请学生证需要充磁注册的同学于明天上午10—11点交到教一204,到时我会在教室等你们。充磁注册后会尽快送到你们寝室! 3 祝愿大家考试顺利,全部通过! 2013年1月9日星期三

第三章题目是“十九世纪与历史语言学”。整个19世纪,历史语言学和比较语言学占了统治地位,并得到了空前的发展。 第一节早期历史语言学。是语言学的一个分支,主要研究某种语言或各种语言的发展史,也称为历时语言学。 第二节格里姆定律和维尔纳定律。作者阐述了德国学者格里姆及其著作《德语语法》,系统论述了日尔曼语与其他印欧语言之间的辅音的一致性。后来这种一致性被称为“格里姆定律”。丹麦语言学家维尔纳于1875年用德语写成《第一次音变的一个例外》。他发现/p/./t/./k/在日尔曼语语中的变化只不过清摩擦音和浊摩擦音之间的变化,这一发现被后来的学者称为“维尔纳定律”。 第三节洪堡特与施莱歇。德国学者洪堡特,著名著作有《人类语言结构的多样性》。他指出人脑里天生有着创造语言的能力,讲话人能运用有限的语言手段创造出无限的语言行为。洪堡特认为各种语言的特性是其民族的特有财产。洪堡特区分了三种语言类型:孤立语,粘着语和屈折语。施莱歇留下了不少关于历史语言学和语言理论的著作,最著名的有《印欧语言比较语法手册》。他的主要贡献表现在三个方面:关于语言亲属关系的理论,关于重建始源语的比较方法及关于对语言的分类。 第四节关于元音交替的研究。施莱歇对元音的变化提出过错误的假设。后来,索绪尔在1878年发表的《论印欧语言中元音的原始系统》一文中提出了新的假设。 第五节新语法学派。19世纪后期,德国莱比锡大学出现了新语法学

语料库语言学的发展

语料库语言学的发展 语料库顾名思义就是放语言材料的仓库。现在人们谈起语料库,不言而喻是指存放在计算机里的原始文本或经过加工后带有语言学信息标注的语料文本。现在世界上已经有了不少规模较大的语料库,有些是国家级的,有些由大学和词典出版商联合建设。另外,由于个人微机的迅猛发展和存贮数据的硬盘造价持续下降,研究者个人也开始建立适合于自己研究的小型语料库。 语料库语言学(英文corpus linguistics)这个术语有两层主要含义。一是利用语料库对语言的某个方面进行研究,即“语料库语言学”不是一个新学科的名称,而仅仅反映了一个新的研究手段。二是依据语料库所反映出来的语言事实对现行语言学理论进行批判,提出新的观点或理论。只有在这个意义上“语料库语言学”才是一个新学科的名称。从现有文献来看,属于后一类的研究还是极个别的。所以,严格地说,现在不能把语料库语言学跟语言学的分支, 如社会语言学、心理语言学、语用学等相提并论。 近年来,随着我国经济的发展,科研经费的增加,汉语语料库的建设得到了开展。1999 年我院把建立汉语语料库列为院重大课题。目前语言所正在构建三个大规模的语料库: 现场即席话语语料库,主要方言口语库和现代汉语文本语料库。在世界范围内,我国的语料库建设在规模上还赶不上欧洲的一些发达国家,特别是英国。然而,在语料库语言学的研究上,以及语料库的实际运用上,我国已经进入世界前沿的行列。 20 世纪语言研究的总特点可以用四个字概括──高度抽象。最近几十年来,语言研究的高度抽象倾向已经失去主导地位。这主要缘于两个方面的因素: 一是计算机技术,特别是基于计算机处理的多媒体技术的飞速发展;二是社会语言学、语用学、会话分析、人类语言学、计算语言学、人机对话研究、语音识别与合成等研究取得令人瞩目的成就。先前难以抓住并进行有效处理的五花八门的实际语料,现在可以对其进行大规模地的、自动的或人机配合的处理。比如个人发音特征,先前认为这跟语言学毫无关系,现在成了侦破语音学的重要内容。侦破语音学家首先建立个人发音特征语料库,这个语料库可以用来鉴别嫌疑犯。概言之,当今的语言研究由先前的高度抽象逐步走向最具体的语言的实际活动,其中

语言学各学派

布拉格学派 基本观点:布拉格学派的基本观点是在J.N.博杜恩·德·库尔德内和F. de索绪尔的影响下形成的。他们同哥本哈根学派、美国结构主义学派的看法有同有异。相同之处是反对德国新语法学派的历史主义及分割主义,认为语言是一个系统,应对其结构进行共时的研究,不同之处是布拉格学派把语言的结构与功能结合起来研究。他们认为语言的基本功能是作交际工具,语言是一个由多种表达手段构成的、为特定目的服务的功能系统。因此要用功能的观点去研究语言。布拉格学派认为句子能从语法角度分析也能从功能角度分析用主位和述位来分析句子。主位指已知信息或在给定语境是明确的话语起点前信息述位指说话者陈述的有关或涉及话语起点内容。 与美国结构主义学派的区别:布拉格学派区别于美国结构主义学派之处是:他们主要研究已有悠久文化的欧洲语言,从功能观点出发广泛探讨标准语、语言修养、修辞学、文艺学、诗学、美学等问题。他们重视语义标准,主张采用对立论,而不接受美国结构主义学派的分布论和直接成分分析法。为了强调自己的特点,布拉格学派曾明确表示应该把自己称为功能语言学派。 主要贡献:布拉格学派发展了索绪尔和博杜恩·德·库尔德内的理论。他们的主要贡献是首次系统地阐明了音系学的任务、原理和研究方法,使它在结构主义语言学诸领域中居于领先地位。音系学中的理论原则、基本概念和研究方法对语法学、词汇学、语义学都产生了深远的影响。布拉格学派的实际切分的理论已得到公认的发展,为话语语言学奠定了基础;他们的分析比较法对类型语言学和语言普遍现象的研究起了推动作用;他们的定量分析法又为数理语言学的形成准备了条件。他们对语言结构的研究是机器翻译产生的重要前提之一。1976年,法国成立了以马丁内为首的国际功能语言学协会,旨在发展布拉格学派的基本思想。 伦敦学派 主要代表人物及成就: 1.马林诺夫斯基的"情境意义" 思想20 世纪20 年代,英国出现了马林诺夫斯基的"情境意义"思想。马林诺夫斯基以研究土著文化著称,是"人类学功能学派"的创始人。马林诺夫斯基重视语言的功能,强调语境研究的重要性。他说,"真正的语言事实是实际语言环境中的完整话语,即使在人类思维和语言运用的最抽象、最理论性的各个方面,词的真正意义,归根结底,总是取决于亲身经历中的这些方面。" 2.弗斯的情景语境理论 弗斯是伦敦学派的始祖,他的语言理论在英国语言学史上具有划时代的意义。弗斯的学说主要集中在语言学和语义学两个方面。在马林诺夫斯基的影响下,弗斯把语言看成是人类生活的一种方式,并非仅仅是一套约定俗成的符号和信号。弗斯认为语言研究的目标不是语言系统而应该将语言的使用作为"社会过程"的一部分来观察。他说,意义不仅与一个特定景象和声音的环境相连,而且深深地根植于人们赖以生存的社会活动过程中。和马林诺夫斯基一样,弗斯也认为话语的意义在于它的使用。弗斯扩大了马林诺夫斯基的"情景语境"概念,

system_verilog教程

基于断言的验证技术 SystemVerilog Tutorials 下面的手册会帮助你了解一些SystemVerilog中最重要的新特点。手册还提供了一些代码样本和例子使你可以对语言有更好"感觉"。这些辅导假设你们已经了解了一些Verilog语言。如果没有,你可以先去看看Verilog设计者指南(V erilog Designer’s Guide)。 * Data types * RTL design * Interfaces * Clocking * Assertion-based verification * Classes * Testbench automation and constraints * The Direct Programming Interface (DPI) SystemVerilog 的数据类型 这个手册将描述Systemverilog新引进的数据类型。他们大多数都是可以综合的,并且可以使RTL级描述更易于理解和书写。 整型和实型 SystemVerilog引进了几种新的数据类型。C语言程序员会熟悉其中的大多数。引进新的数据类型构思是这样的,如果C语言和SystemVerilog有相同的数据类型可以使C语言算法模型更容易的转化为SystemVerilog模型。 Verilog的变量类型有四态:既是0,1,X,Z。SystemVerilog引进了新的两态数据类型,每一位只可以是0或是1。当你不需要使用的X和Z值时,譬如在写Testbench和做为for语句的循环变量。使用两态变量的RTL级模型,可以使模拟器更有效率。并且使用得当的话将不会对综合结果产生影响。 二态整型 类型描述例子 Bit user-defined size bit [3:0] a_nibble;

systemverilog面试

Qi1)What is callback (Qi2)What is factory pattern (Qi3)Explain the difference between data types logic and reg and wire . (Qi4)What is the need of clocking blocks (Qi5)What are the ways to avoid race condition between testbench and RTL using SystemVerilog (Qi6)Explain Event regions in SV. (Qi7)What are the types of coverages available in SV (Qi8)What is OOPS (Qi9)What is inheritance and polymorphism (Qi10)What is the need of virtual interfaces (Qi11)Explain about the virtual task and methods . (Qi12)What is the use of the abstract class (Qi13)What is the difference between mailbox and queue

(Qi14)What data structure you used to build scoreboard (Qi15)What are the advantages of linkedlist over the queue (Qi16)How parallel case and full cases problems are avoided in SV (Qi17)What is the difference between pure function and cordinary function (Qi18)What is the difference between $random and $urandom (Qi19)What is scope randomization (Qi20)List the predefined randomization methods. (Qi21)What is the dfference between always_combo and always@(*)c (Qi22)What is the use of packagess (Qi23)What is the use of $cast (Qi24)How to call the task which is defined in parent object into derived class (Qi25)What is the difference between rand and randc (Qi26)What is $root (Qi27)What is $unit

语料库语言学综述

语料库语言学综述 作者:刘美良 作者单位:柳州铁道职业技术学院,广西,柳州,545001 刊名: 科技信息 英文刊名:SCIENCE & TECHNOLOGY INFORMATION 年,卷(期):2010,""(21) 被引用次数:0次 参考文献(19条) 1.Chomsky,N Syntactic Structure 1957 2.Sinclair.John Corpus.Concordance Collocation 3.Susan Hunstom Corpora in Applied Linguistics 2006 4.Aijmer,K.Altenberg,B English Corpus Linguistics:Studies in Honour of Jan Svartvik 1991 5.杨惠中语料库语言学导论 2002 6.何安平语料库在外语教育中的应用--理论与实践 2004 7.何安平谈语料岸研究 1997(5) 8.丁信善语料库语言学的发展及研究现状 1998(1) 9.李文中2003上海语科库语言学国际会议述评 2004(1) 10.粱旭红语料库语言学研究综述 2001(1) 11.粱燕近十年我国语料库实证研究综述 2004(6) 12.刘满堂近40年英语语料库及语料库语言学研究的回顾与出展望 2004(1) 13.潘永本梁语料库语言学的目的和方法 2001(3) 14.王建新语料库语言学发展史上的几个重要阶段 1998(4) 15.许家金语料库语言学的理论解析 2003(6) 16.卫乃兴专业性搭配初探--语料库语言学方法 2001(4) 17.卫乃兴语料库语言学的弗斯学说基础 2008(3) 18.甄风超.张霞语料库语言学发展趋势瞻望--2003语料库语言学国际会议综述 2004(4) 19.吴菲国内外英语语料库的建设和发展述评 2007(6) 相似文献(4条) 1.期刊论文王虹.岳俊发.Wang Hong.Yue Jun Fa书面言语鉴定技术的发展历程-中国公共安全(学术版)2005,""(3) 书面言语鉴定技术产生于20世纪80年代中期.随着电子打印技术的普及,涉案的不留笔迹的打印文件显著增加,书面言语鉴定技术倍受关注.近年来,运用统计语言学、语料库语言学和计算机词频检索与统计等新技术获得了新的研究成果并应用于鉴定实践.书面言语鉴定技术已经发展成为文件检验学的一个新的分支,必将在司法鉴定领域发挥更大的功效. 2.期刊论文孙丰果话语分析整合研究-聊城大学学报(社会科学版)2010,""(2) 近60年来.话语分析经历了从无到有,从小到大的发展历程.话语分析要取得长足发展,需要有一个明确的概念,需要人们对其以前的发展情况进行总结和评述.对话语分析研究的评述不妨从系统功能语言学、认知语言学、语料库语言学等多角度进行.今后,话语分析研究可以从话语分析的哲学转向、心理学转向及整合批评话语分析和积极话语分析等方面展开. 3.期刊论文杨连瑞.Yang Lianrui第二语言习得研究的学科定位和进展-外语与外语教学2005,""(5) 第二语言习得是一种复杂的人文现象.作为一门新兴的交叉学科,第二语言习得研究在发展中应重视其学科性质的科学定位和学科体系的科学建构.本文在对第二语言习得研究的学科定位、学科性质和研究对象进行讨论后,回顾和评述了国外第二语言习得研究从对比分析、错误分析、中介语理论、普遍语法研究、语言类型学研究到语料库语言学研究的发展历程和研究问题,分析了目前我国开展这一学科研究的现状,并结合我国外语教学实际对目前开展这一学科的研究提出建设性意见. 4.学位论文魏琳中国英译旅游宣传材料的语料库分析2006 旅游业在全球范围内的迅猛发展使不同文化背景的人们进行文化交流变成了一种需要。在我国,旅游英语特别是网上的旅游英译材料是外国游客接触和了解我国的媒介。因此,旅游材料的翻译质量举足轻重。而目前旅游文本的翻译研究在中国还是一个比较新的话题,近年来主要是结合最新语言学

相关文档
最新文档