数字电子技术第四章答案

数字电子技术第四章答案
数字电子技术第四章答案

习题4

4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。

解:图(a ):1F AB =;2

F A B =e ;3F AB = 真值表如下表所示: A B 1F

2F

3F

0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1

1

1

其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

2F

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m =

=∑∏

2(0,1,2,4)(3,5,6,7)F M m ==∑∏

真值表如下表所示:

功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。

图(d ):1F AB =;2

F A B =e ;3F AB =

功能:为一位比较器,AB 时,3F =1

4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为:

100101102103F A A x A A x A A x A A x =+++

因此该电路是一个四选一数据选择器,其真值表如下表所示:

1A

0A

F

0 0 0x 0 1 1x 1 0 2x 1 1

3x

4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

解:该电路的输入为3x 2x 1x 0x ,输出为3Y 2Y 1Y 0Y 。真值表如下: 3x

2x

1x

0x

3Y

2Y

1Y

0Y

M=1

0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 0

1 1 0 0 1 0 0 M=0 1 0 0 0 1 1 1 1 1 0 0 1 1 1 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 0 1 1 1 0 0 1 0 0 0 1 1 0 1 1 0 0 1 1 1 1 0 1 0 1 1 1

1

1

1

1

1

由此可得:1M =当时,33

232121010

Y x Y x x Y x x Y x x =??=⊕??

=⊕??=⊕? 完成二进制至格雷码的转换。

0M =当时,33

232

1321210321010

Y x Y x x Y x x x Y x Y x x x x Y x =??=⊕??

=⊕⊕=⊕??=⊕⊕⊕=⊕? 完成格雷码至二进制的转换。

4-4 图P4-4是一个多功能逻辑运算电路,图中3S ,2S ,1S ,0S 为控制输入端。试列表说明电路在3S ,2S ,1S ,0S 的各种取值组合下F 与A,B 的逻辑关系。

解:3210()()F S AB S AB S B S B A =+⊕++,功能如下表所示,

3S

2S

1S

0S

F

3S

2S

1S

0S

F

0 0 0 0 A

1 0 0 0 AB

1

A B

+

1

1

A B

0 0 1 0

A B

+

1 0 1 0

B

0 0 1 1 1 1 0 1 1

AB

0 1 0 0 AB 1 1 0 0 0

0 1 0 1 B 1 1 0 1 AB

0 1 1 0 A B

e 1 1 1 0 A B

+

0 1 1 1 A B

+ 1 1 1 1 A

两个变量有四个最小项,最多可构造42种不同的组合,因此该电路是一个能产生十六种函数的多功能逻辑运算器电路。

4-5 已知某组合电路的输出波形如图P4-5所示,试用最少的或非门实现之。

解:()(1,3,6,7)(0)()

F ABC m A C A B

φ

=+=+++

∑∑

电路图如下:

A

B

C

F

4-6 用逻辑门设计一个受光,声和触摸控制的电灯开关逻辑电路,分别用A,B,C表示光,声和触摸信号,用F表示电灯。灯亮的条件是:无论有无光,声信号,只要有人触摸开关,灯就亮;当无人触摸开关时,只有当无关,有声音时灯才亮。试列出真值表,写出输出函数

表达式,并画出最简逻辑电路图。 解:根据题意,列出真值表如下:

由真值表可以作出卡诺图,如下图:

C AB 00 10 11 10 0 1

由卡诺图得到它的逻辑表达式为: 由此的到逻辑电路为:

C

4-7 用逻辑门设计一个多输出逻辑电路,输入为8421BCD 码,输出为3个检测信号。要求:

(1) 当检测到输入数字能被4整除时,1F =1。 (2) 当检测到输入数字大于或等于3时,2F =1。 (3) 当检测到输入数字小于7时,3F =1。 解:1()f ABCD CD =

2()f ABCD A B CD =++

F AB C

=+

3()f ABCD AC AB CD =++

4-8 用逻辑门设计一个两位二进制数的乘法器。

解:二进制乘法:设两个2位二进制数的乘法运算结果为:10103210()()A A A B B B P P PP ?= 电路图如下图所示:

4-9 设计一个全加(减)器,其输入为A,B,C 和X(当X =0时,实现加法运算;当X =1时,实现减法运算),输出为S(表示和或差),P (表示进位或借位)。列出真值表,试用3个异或门和3个与非门实现该电路,画出逻辑电路图。

解:根据全加器和全减器的原理,我们可以作出如下的真值表:

1 B 3 B

2 B 1 B 0

由真值表可以画出卡诺图,由卡诺图得出逻辑表达式,并画出逻辑电路图:

A B C X

P

4-10 设计一个交通灯故障检测电路,要求红,黄,绿三个灯仅有一个灯亮时,输出F =0;若无灯亮或有两个以上的灯亮,则均为故障,输出F =1。试用最少的非门和与非门实现该电路。要求列出真值表,化简逻辑函数,并指出所有74系列器件的型号。 解:根据题意,我们可以列出真值表如下:

对上述的真值表可以作出卡诺图,由卡诺图我们可以得出以下的逻辑函数:

F AB AC BC ABC AB AC BC ABC =+++=???

逻辑电路图如下所示:

A

B

C

F

4-11试用两片8线-3线优先编码器74LS148组成16线-4线优先编码器,画出逻辑电路图,说明其逻辑功能。

解:逻辑电路图如下:

S S

I

1

I

2

I

3

I

4

I

5

I

6

I

7

I

8

I

9

I

10

I

11

I

12

I

13

I

14

I

15

I

2

Y

2

Y

1

Y

1

Y

Y

Y

EX

Y

EX

Y

S

Y

S

Y

Y

1

Y

2

Y

3

Y

逻辑功能:是一个16-4编码器。

4-12 (1)图P4-12为3个单译码逻辑门译码器,指出每个译码器的输出有效电平以及相应的输出二进制码,写出译码器的输出函数表达式。

(2)试画出与下列表达式对应的单译码器逻辑电路图。

3210

Y A A A A

=②

3210

Y A A A A

=③

43210

Y A A A A A

=

1

S=

解:对于(a )图来说。3210Y A A A A = (b) 210Y A A A = (c) 3210Y A A A A = 对于(1)逻辑电路图为:

A 2A 1A 0

A

(2)逻辑电路图如下图:

3A 2A A 0A

(3)逻辑电路图如下图:

3A 2A

A 0A 4A

4-13 试用一片3-8译码器和少量逻辑门设计下列多地址输入的译码电路。

(1) 有8根地址输入线7A ~1A ,要求当地址码为A8H,A9H ,…,AFH 时,译码器输出为

0Y ~7Y 分别被译中,且地电平有效。

(2) 有10根地址输入线9A ~0A ,要求当地址码为2E0H,2E1H, …,2E7H 时,译码器输

出0Y ~7Y 分别被译中,且地电平有效。

解:(1)当122100A B E E E =,即75364210111,00,A A A A A A A A ==从000~111变化时07~Y Y 分别被译中,电路如下图所示:

Y Y (2)当122100A B E E E =,即97538432101111,000,A A A A A A A A A A ==从000~111变化时,

07~Y Y 分别被译中。电路如下图所示:

Y Y 3

4-14 试用一片3-8译码器74LS138和少量的逻辑门实现下列多输出函数: (1)1F AB ABC =+ (2) 2F A B C =++ (3) 3F AB AB =+ 解:1067

(0,6,7)F m Y Y Y

=

=∑

211(0,2~7)F m M Y ===∑ 312345(2,3,4,5)F m M Y Y Y Y ===∑

电路图如下图所示:

1F 2

F F

4-15 某组合电路的输入X 和输出Y 均为三位二进制数。当X<2时,Y=1;当25X ≤≤时,Y=X+2;当X>5时,Y=0。试用一片3-8译码器和少量逻辑门实现该电路。 解:由题意列出真值表如下:

电路图如下图所示:输入X =ABC ,输出为Y 。

1E 2E 3

E 3A 2A 1A 3Y 2Y 1Y 0

Y 4Y 5Y 6Y 7Y 38-译码器

1

02Y X =+

4-16 由3-8译码器74LS138和逻辑门构成的组合 逻辑电路图P4-16所示。 (1) 试分别写出1F ,2F 的最简与或表达式。

(2) 试说明当输入变量A,B,C,D 为何种取值时,1F =2F =1。

解:(1)当D=1时,2

1F =??=?1F

当D=0时,0342467(,,)(,,)()()()

A B C m m m ABC ABC ABC

F A B C m m m A B C A B C A B C ?=++=++??==++++++??1F

将1F ,2F 分别填入四变量的卡诺图后可得:

(,,)(0,6,8)()()()A B C m D B C B C A B ==+

++∑1F 2(,,)(8,12,14)()()F A B C M A B D A C D ==++++∏

(2)当ABCD=0000或0110时,1F =2F =1 4-17 已知逻辑函数(,,,)(1,3,7,9,15)F a b c d m =∑,试用一片3-8译码器74LS138和

少量逻辑门实现该电路。 解:由题意的,(,,,)(1,3,7,9,15)()F a b c d m ABC ABC ABC ABC ABC D ==++++∑

电路图如下图所示:

2A 1A 0

A 1E 2A E 2

B E 6Y 7

Y 5Y 4Y 3Y 2Y 1Y 0Y 38-译码器

A B

1

D

F

4-18 某2-4译码器的逻辑符号和功能表如图P4-18所示。试用尽量少的译码器和或门实现下列函数(允许反变量输入): (1)(,,,)F W X Y Z W X Y X YZ WZ =++ (2)(,,,)G W X Y Z WYZ X Y =+

解:根据题意,输入分别为X,Y ,W,Z 。

对于(1)来说,我们可以作出如下的逻辑电路图:

3

Y 2Y 1

Y 0Y 0A 1A 1

E 2

E F

(2)由题,我们可以得出如下的逻辑电路图:

3

Y 2Y 1Y 0Y 0A 1A 1

E 2

E F

4-19 由3-8译码器构成的脉冲分配器电路图如图P4-19所示。 (1) 若CP 脉冲信号加在2E 端,试画出0Y ~7Y 的波形; (2) 若CP 脉冲信号加在1E 端,试画出0Y ~7Y 的波形。

解:(1)CP=1时,i 1Y =;CP=0时07~Y Y 按210A A A 的变化分别译码。波形如下图所示:

CP 0A 1A 2A 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y

(2)CP=1时07~Y Y 按210A A A 的变化分别译码;CP=0时,i 1Y =。波形图如下图所示:

CP 0A 1A 2A 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y

4-20 试用三片3-8译码器组成5-24译码器。

解:5-24译码器如下图所示,图中:43210A A A A A 为地址输入,0123Y Y Y L 为译码输出。 当4300A A =时,左边第一片译码器工作,

4301A A =时,中间一片译码器工作; 43A A =10时,右边一片译码器工作; 43A A =11时,三片译码器全部禁止。

A A A A A

0Y 7Y 8Y 15Y 16Y 23

Y 4-21 用一片BCD 码十进制译码器和附加门实现8421BCD 码至余3码的转换电路。 解:3(5,6,7,8,9)E m =

2(1,2,3,4,9)E m =∑ 1(0,3,4,7,8)E m =∑ 0(0,2,4,6,8)E m =∑

电路图如下图所示:

8421

(8421)

BCD 码输入3

210

4-22 试用一片4-16译码器组成一个5421BCD 码十进制数译码器。 解:根据四位二进制码和5421BCD 码的对应关系,可得如下图所示的电路:

5421

Y Y (8421)

BCD 码输入

4-23 试用8选1数据选择器74LS151实现下列逻辑函数(允许反变量输入,但不能附加门电路):

(1)F A B AC BC =⊕⊕⊕ (2) (,,)(0,2,3,6,7)F A B C m =

(3)(,,,)(0,4,5,8,12,13,14)F A B C D m =∑ (4) (,,,)(0,2,5,7,8,10,13,15)F A B C D m =∑

(5) (,,,)(0,3,5,8,11,14)(1,6,12,13)F A B C D m d =+∑∑

解: (1) (2,4)()

(0,0,1,0,1,0,0,0)T m

F m ABC =

=∑

(2) ()(1,0,1,1,0,0,1,1)T m F ABC =

(3)

()(1,,0,0,1,,,0)()(1,0,0,0,1,1,,0)()(,0,1,0,,0,1,)

T T m m T

m F ACD B B B BCD A ABC D D D ===

(4) (0)(1,0,0,1,0,0,0,0)(0)(1,0,0,0,0,0,1,0)T T

m m F BD BD ==

(5)

()(,1,0,,1,0,,)()(1,0,0,1,0,1,1,0)()(1,,,0,,,0,)

T T m m T

m F ACD B B B B BCD ABC D D D D D ===

4-24 试用16选1数据选择器和一个异或门实现一个八用逻辑电路。其功能要求如表P4-1所示。

解:根据真值表得出:210()F f S S S AB =,即F 为五变量函数。若令10()Y f S S AB =,则有2F S Y =⊕,即2S =0时F Y =,2S =1时F Y =,因此可先用16选1MUX 来实现Y ,再加一个异或门实现F 。所以2F S Y =⊕。

210()(0,0,0,0,0,1,1,1,1,1,1,0,0,1,1,1,0)T m F AS S S =

电路图如下:

S 1S S A B

4-25 由74LS153双4选1数据选择器组成的电路如图P4-25所示。 (1) 分析该电路,写出F 的最小项表达式(,,,)F A B C D (2) 改用8选1 实现函数F ,试画出逻辑电路。

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术基础第三版第三章答案

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点: 1.组合电路设计 无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.360docs.net/doc/f53755514.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电子技术第五章作业及答案

第五章(时序逻辑电路)作业 1、时序逻辑电路如图5-1所示,试分析该电路的逻辑功能。要求:写出电路的激励方程、状态方程和状态转换表,画出电路的状态转换图,并说明电路能否自启动。 图5-1 时序逻辑电路 答案:同步四进制减法计数器。能自启动。 2、试用文字语言描述图5-2所示状态图的状态变化情况,并写出其相应的状态转换表。

. A B C D 0/1 0/0 0/0 0/01/0 1/0 1/0 1/1 . . . . 图5-2 状态图 解: 状态A :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态B ,输出0 状态B :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态C ,输出0 状态C :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态D ,输出0 状态D :如果输入为0,转移到状态A ,输出0 如果输入为1,转移到状态D ,输出1 该状态为梅里状态机。 3、图5-3所示的是5位右移寄存器与输入信号DATA 、时钟CLK 的波形图,设寄存器初始状态为00000,试画出寄存器输出Q 4~Q 0的波形图。 11 01 0DATA CLK DATA CLK . . 0123 4 . . 图5-3 5位右移寄存器与DATA 、CLK 的波形图 4、试用JK 触发器设计一个同步八进制计数器,其状态S 0、S 1…S 7的编码分别为000、001、011、010、110、111、101、100。

答案: J3= Q2___Q1 J2= ___Q3Q1 J1= Q3⊕___Q2 K3= ___Q2___Q1 K2= Q3Q1 K1= Q3⊕Q2 5、试用上升沿JK触发器构成异步3位二进制加法计数器,要求画出逻辑电路图,以及计数器输入时钟CLK与JK触发器输出端Q2~Q0的波形图。 6、图5-4所示为异步4位二进制加法计数器74LS293组成的计数器电路,试说明该计数电路是多少进制计数器,并说明复位信号RESET的有效电平, . . 图5-4 74LS293组成的计数器电路 解:RESET有效电平为低电平。 该计数器是13进制计数器。 7、试用74LS161连接成计数长度M=8的计数器,可采用几种方法?并画出相应的接线图。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

万里学院-数字电子技术-第五章习题及参考答案

第五章习题 1.题图5-1所示电路是用两片555构成的脉冲发生器,试画出Y 1和Y 2两处的输出波形,并标注主要参数(参数只需估算)。 题图5-1 2.题图5-2所示的555定时器构成的单稳态触发器及输入v I 的波形,求: (1)输出信号v O 的脉冲宽度T W ; (2)对应v I 画出v C 、v O 的波形,并标明波形幅度。 v I /V CC /3 v I v O 题图5-2 3.由555定时器组成的多谐振荡器如图5-3所示,已知V DD =12V 、C =0.1μF 、R 1=15k Ω、 R 2=22k Ω。试求:(1)多谐振荡器的振荡周期;(2)画出的v C 和v O 波形。 题图5-3 4.由555定时器、3位二进制加计数器、理想运算放大器A 构成如题图5-4所示电路。设计数器初始状态为000,且输出低电平V OL =0 V ,输出高电平V OH =3.2 V ,R d 为异步清零端,高电平有效。 (1)说明虚框(1)、(2)部分各构成什么功能电路?(2)虚框(3)构成几进制计器? (3)对应CP 画出v O 波形,并标出电压值。 题图5-4 5.用集成芯片555构成的施密特触发器电路及输入波形i v 如题图5-5所示,要求: (1)求出该施密特触发器的阈值电压V T +、V T -;(2)画出输出v o 的波形。 题图5-5 6.用集成定时器555构成的电路及可产生的波形如题图5-6(a )、(b )所示,试回答: (1)该电路的名称;(2)指出(b )图中v C 波形是1~8引脚中,哪个引脚上的电压波形; (3)求出矩形波的宽度t W 。

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

最新数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

数字电子技术模拟试题15答案

泰山学院物理与电子工程学院 《数字电子技术》试卷15参考答案与评分标准 一、选择题(每小题 2 分,共 20 分) 二、填空题(每空1分,共 20 分) 1、262.54 B2.B 2、二进制 八进制 十六进制 3、与 或 非 4、)(D C B A '+' 5、2 1 0 6、1 0 0 7、数值比较器 8、1 0 9、6 3 三、化简题(每题 5 分,共 10分) 1、1=+'+'+'+=B A C B A F ……………………………………………(5分) 2、AC AD B A Y ++''= …………………………………………(5分)

四、分析题(第1题5分,后3题每题10分,共35分) 1、 ………………(5分) 2、 …………………………(5分) …………………………(5分) 3、 K=1………………………………………………………(2分) ………………………………………………………………(2分) …………………………………(2分) ……………………………………………(2分) ……………………………………(2分) 4、 AC BC AB BA C BA AC B D A A D A A D A A D A A F ++=+'+'=+'+'+''= 3 0120110100122Q J '=1Q D =)(1211 *1↓''='+'=CLK Q Q Q K Q J Q )(1* 2↑=CLK Q Q

五、设计题(第1题7分,第2题8分,共15分) 1、A 、B 、C 代表三个裁判,通过用1表示,不通过用0表示…………(1分) 1表示成绩有效,0表示成绩无效…………………………………………(1分) 逻辑式F=A+BC ……………………………………………………………(2分) 真值表 ………………………………………………………………(2分) 逻辑图: ………………………………(1分) 2、状态转换图 ……………………………(3分) 电路图 A B C F 1 1 1 1 1 1 0 1 1 0 1 1 0 1 1 1 1 0 0 1 0 1 0 0 0 0 1 0 0 0 0 0

相关文档
最新文档